基于fpga的通用可控分频器的设计new

上传人:xins****2008 文档编号:108900014 上传时间:2019-10-25 格式:DOC 页数:18 大小:245.50KB
返回 下载 相关 举报
基于fpga的通用可控分频器的设计new_第1页
第1页 / 共18页
基于fpga的通用可控分频器的设计new_第2页
第2页 / 共18页
基于fpga的通用可控分频器的设计new_第3页
第3页 / 共18页
基于fpga的通用可控分频器的设计new_第4页
第4页 / 共18页
基于fpga的通用可控分频器的设计new_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《基于fpga的通用可控分频器的设计new》由会员分享,可在线阅读,更多相关《基于fpga的通用可控分频器的设计new(18页珍藏版)》请在金锄头文库上搜索。

1、泉 州 师 范 学 院 毕业论文(设计) 题目 基于FPGA的通用可控分频器的设计物理与信息工程 学院 电子信息科学与技术 专业 2007 级学生姓名 李文才 学号 070303018 指导教师 曾永西 职称 讲师 完成日期 2011年4月1日 教务处 制基于FPGA的通用可控分频器的设计泉州师范学院 电子信息科学与技术专业 070303018 李文才指导教师 曾永西 讲师【摘要】介绍了基于FPGA的通用可控分频器的工作原理和设计过程。根据分频技术原理,使用Altera公司的EP2C8Q208C8芯片为核心器件,在Quartus II平台上,用VHDL语言编程完成了分频器的软件设计、编译、调试

2、、仿真和下载,然后与外围硬件电路相结合调试,最终设计出能进行2到9999分频和占空比1%到99%可调的分频器,该分频器的输入信号为1MHZ。【关键词】FPGA;VHDL;通用分频器;分频系数;占空比目录第1章 引言31.1课题分析31.2 VHDL语言和QUARTUS II简介31.2.1 VHDL简介31.2.2 Quartus II简介4第2章 方案选择及原理分析42.1 通用可控分频器硬件方案选择42.2 通用可控分频器原理分析42.2.1 偶数分频器42.2.2奇数分频器5第3章 硬件设计63.1系统设计的主要组成分.63.2 FPGA简介73.3显示电路介绍7第4章 软件设计74.1

3、系统分析74.2系统的结构和模块划分74.2.1固定数值分频模块84.2.2按键和显示模块84.2.3占空比和分频系数可控分频模块94.3 可控分频的实现10第5章 软硬件的系统测试10结论10参考文献11致谢11附录测量的数据表12附录程序12第1章 引言分频器是数字系统设计中的一种基本电路,我们往往需要通过分频器得到我们所需要的时钟频率。在实际设计中我们经常用到的为整数分频,有时要求等占空比,有时又要求非等占空比。在同一个设计中有时要求多种形式的分频,通常由计数器或计数器的级联结构构成各种形式的任意占空比偶数分频及非等占空比的奇数分频,实现起来比较简单,对于等占空比的奇数分频以及可控的分频

4、实现起来会较为困难。本文利用VHDL硬件描述语言,通过Quartus7.2开发平台,使用Altera公司的FPGA芯片,设计了一种能够满足上述要求,而且较为通用的可控分频器。只需在分频器的输入端用按键输入相应的分频系数,就可以得到所需的频率。1.1课题分析随着电子技术的高速发展,FPGA/CPLD以其高速、高可靠性、串并行工作方式等突出优点在电子设计中受到广泛的应用,而且代表着未来EDA设计的方向。FPGA/CPLD的设计采用了高级语言,如VHDL语言AHDL语言等,进一步打破了软件与硬件之间的界限,缩短了产品的开发周期。所以采用先进的FPGA/CPLD取代传统的标准集成电路、接口电路已成为电

5、子技术发展的必然趋势。EDA技术代表了当今电子设计技术的最新发展方向,采用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件已蓬勃发展起来。1分频器是一种在 FPGA 的设计中使用效率非常高的设计,使用硬件描述语言进行设计消耗不多的逻辑单元就可以实现对时钟的操作,具有成

6、本低、可编程等优点。1.2 VHDL语言和QUARTUS II简介1.2.1 VHDL语言简介VHDL(VHSIC(Very High Speed Integrated Circuit)Hardware Description Language)是超高速集成电路硬件描述语言,是一种用于电路设计的高级语言。它出现于80年代后期,刚开始时它是由美国国防部开发出来的,是为了供美军用来提高设计的可靠性和缩减开发周期的一种使用范围比较小的设计语言 。VHDL语言主要应用于数字电路系统的设计。目前,国内对它的应用多数集中在FPGA/CPLD/EPLD的设计当中,除此之外,一些较为有实力的单位,也将它用来设

7、计ASIC。VHDL语言具有多层次描述系统硬件功能的能力,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL还支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。VHDL语言具有自顶向下和基于库的设计特点。其开发流程:在顶层用方框图或硬件语言对电路的行为进行描述后,进行系统仿真验证和纠错,再用逻辑综合优化工具生成具体的门级逻辑电路的网表,然后通过适配器将网表文件配置于指定的目标器件,产生最终下载

8、文件或配置文件。最后把适配后生成的下载或配置文件通过编程器或编程电缆下载到具体的FPGA/CPLD器件中去,以便进行硬件调试和验证,从而实现可编程的专用集成电路ASIC的设计。VHDL主要用于描述数字系统的结构,行为,功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL系统设计与其他硬件描述语言相比,具有比较强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言之一。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。21.2.2 Quartus II简介Quartus II 是

9、Altera公司设计的综合性PLD开发软件,它支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌有综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,这样可以使用户充分的利用成熟的模块,从而简化了设计的复杂性,进而加快了设计的速度。Quartus II支持的器件类型非常丰富,其图形界面也易于操作。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和Har

10、dCopy的设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的喜爱和欢迎。第2章 方案选择及原理分析2.1 通用可控分频器硬件方案选择分频器是数字电路中最常用的电路之一,在 FPGA 的设计中也是使用频率非常高的一种基本设计。基于 FPGA 实现的分频电路一般有两种方法:一种是使用FPGA 芯片内部提供的锁相环电路进行分频,如 ALTERA 提供的 PLL(Phase Locked Loop),Xilinx 提供的 DLL(DelayLocked Loo

11、p);第二种是使用硬件描述语言,如VHDL、Verilog HDL 等。使用锁相环电路进行分频有许多的优点,例如可以实现倍频、相位偏移以及占空比可调等。但是由于 FPGA 内部提供的锁相环个数极为有限,不能满足使用时的要求。因此使用硬件描述语言实现分频电路在数字电路设计较为常用,因为它消耗不多的逻辑单元就可以实现对时钟的操作,具有成本低、可编程等优点。32.2 通用可控分频器原理分析实现分频电路最基础的东西是计数器,设计分频器的关键在于输出电平在何时进行翻转。计数器的种类分为普通计数器和约翰逊计数器两种,这两种计数器在分频电路中均可使用, 最普通的计数器是加法或减法计数器。在同一时刻,加法计数

12、器的输出可能有多位发生变化,因此,当使用组合逻辑对输出进行译码时,可能会导致尖峰脉冲信号。下面使用加法计数器来分别描述各种分频器的实现。2.2.1 偶数分频器偶数分频最易于实现,想要实现占空比为 50%的偶数 N 分频,一般来说有两种方案:一是当计数器计数到 N/2-1 时,将输出的电平进行一次翻转,并同时给计数器一个复位信号,使计数器重新开始计数,如此循环下去,便可实现占空比为50%的偶数N分频;二是当计数器的输出为 0到 N/2-1时,时钟的输出为 0或 1;当计数器的输出为 N/2到 N-1时,时钟的输出为 1或 0;当计数器计数到N-1 时,将计数器进行复位,重新开始计数,如此循环下去

13、,也能实现50%偶数分频。需指出的是,第一种方案只能实现占空比为 50%的分频器,而第二种方案还可以对占空比进行有限度的调整。图2.1 50%占空比2分频时序图 图2.2 4分之1占空比4分频时序图2.2.2奇数分频器想要实现非 50%占空比的奇数分频,比如实现占空比为 20%(1/5)、40%(2/5)、60%(3/5)、80%(4/5)的 5 分频器,我们可以采用类似于偶数分频的第二种方案;但如果要实现占空比为 50%的奇数分频,就不能采用偶数分频中所采用的方案了。图2.3 5分之1占空比5分频时序图 要产生50%占空比的奇数分频实现起来会比较麻烦一点,需通过待分频的时钟下降沿触发计数,产

14、生一个占空比为 40%(2/5)的 5 分频器。将产生的时钟与上升沿触发产生的时钟相或,即可得到一个占空比为 50%的5分频器。 推广为一般方法:欲实现占空比为 50%的 2N+1分频器,则需要对待分频时钟上升和下降沿分别进行 N/(2N+1)分频,然后将两个分频所得的时钟信号相或便可得到占空比为50%的2N+1分频器。4 图2.4 50%占空比5分频时序图第3章 硬件设计本系统的设计采用 VHDL硬件描述语言编程来实现,除了按键输入部分和数码显示部分以外,其余全部在FPGA芯片cycloneII系列的EP2C8Q208C8上实现。其系统结构如下图3.1所示:FPGAEP2C8Q208C8N数

15、码管显示按键图3.1系统硬件结构该系统的硬件主要由FPGA模块、数码管显示模块和按键模块组成。系统结构精简、可靠,而且具有很高的灵活性。硬件系统PCB如图3.2所示:图3.2 硬件系统PCB3.2 Cyclone II芯片简介在Cyclone I器件系列非常成功的基础上,Altera公司的Cyclone II系列扩大了FPGA的密度,最多可以达到68416个逻辑单元,并且还提供了622个可用的输入/输出引脚和1.1M比特的嵌入式寄存器。Cyclone II器件的制造是基于300mm晶圆,采用台积电90nm、低K值的电介质工艺制作而成的,这种工艺技术采用了低绝缘体过程,这样就确保了快速性、有效性和低成本。Cyclone II器件通过使硅片的面积最小化,所以可以在单芯片上支持复杂的数字系统,而且在成本上

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号