交通灯控制器设计报告

上传人:shaoy****1971 文档编号:108856767 上传时间:2019-10-25 格式:DOC 页数:23 大小:313.50KB
返回 下载 相关 举报
交通灯控制器设计报告_第1页
第1页 / 共23页
交通灯控制器设计报告_第2页
第2页 / 共23页
交通灯控制器设计报告_第3页
第3页 / 共23页
交通灯控制器设计报告_第4页
第4页 / 共23页
交通灯控制器设计报告_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《交通灯控制器设计报告》由会员分享,可在线阅读,更多相关《交通灯控制器设计报告(23页珍藏版)》请在金锄头文库上搜索。

1、交通控制器设计报告交通控制器设计报告、设计要求 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全,迅速地通行,在交叉道口的每个入口设置了红,绿,黄三色信号灯。 红灯亮禁止通行,绿灯亮允许通行,黄灯亮则警告行驶中的车辆,并让它们有时间停靠到禁行线之外。 1 、 用红,绿,黄三色发光二极管作信号灯。主干道 A 为东西向,设红,绿,黄三个灯分别为 AR 、 AG 、 AY ;支干道 B 为南北向,三色灯为 BR 、 BG 、 BY 。 2 、 由于主干道车辆较多而支路车辆较少,所以主干道亮绿灯的时间为 30s ,支干道亮绿灯的时间为 20s 。当主干道允许通行亮绿灯时则支干道亮红灯;

2、而支干道允许通行亮绿灯时,主干道亮红灯,两者交替允许通行。在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以便行驶中的车辆有时间停到禁止线以内。 3 、 交通灯正常运行时,用 4 位数码管 M1 、 M2 、 M3 、 M4 显示主干道和支干道的到计时时间, M1 、 M2 显示支干道在当前状态的剩余时间; M3 、 M4 显示主干道在当前状态的剩余时间 4 、 能实现系统总清 0 ,清 0 后计数器由初始状态开始计数,指示灯指示主干道亮绿灯,支干道亮红灯。 5 、 具有一定的扩展功能: 能实现特殊状态的功能显示,用 SP 作为特殊信号, SP 为低电平0时进入特殊状态,并

3、实现下列特殊状态功能: ( 1 )显示器 M1 、 M2 、 M3 、 M4 停止计数并保持原数字不变。 ( 2 )东西、南北方向的三色灯全显示红色状态; ( 3 )特殊状态解除后能继续返回正常工作状态; ( 4 )进入特殊状态时,音响电路持续发出急促的“嘀 - 嘟”声,以示警告二、设计方案1、功能模块分析设计要求,可并将系统分为四个功能模块:a、控制模块:实现系统在不同状态间的切换,同时实现计时功能b、生模块:实现状态控制器的标准秒脉冲输入。c、BCD译码模块:实现integer转换为BCD码.d、SEG7显示模块:实现当前状态剩余时间的显示.标准秒脉冲产生状态控制与计时SEG7数码显示BC

4、D译码2、系统状态说明与转换图分析设计要求可知,整个系统可分为5个状态,分别为:S1、S2、S3、S4、S5。S1状态:主车道绿灯亮,支车道红灯亮,持续30秒;S2状态:主车道黄灯亮,支车道红灯亮,持续5秒;S3状态:主车道红灯亮,支车道绿灯亮,持续20秒;S4状态:主车道红灯亮,支车道黄灯亮,持续5秒;S5状态:为设计要求的特殊状态,主车道红灯亮,支车道红灯亮。SPSP0S1S2S3S4 S5SP1三、系统原理图与住真波形及源程序1、系统原理图 2、系统仿真波形3、系统源程序Library IEEE;Use IEEE.std_logic_1164.all;Entity system Is p

5、ort ( CLK_IN : In STD_LOGIC; CLR : In STD_LOGIC; DIN : In STD_LOGIC_VECTOR(7 DOWNTO 0); G1 : Out STD_LOGIC; G2 : Out STD_LOGIC; R1 : Out STD_LOGIC; R2 : Out STD_LOGIC; RESET : In STD_LOGIC; SEG11 : Out STD_LOGIC_VECTOR(6 DOWNTO 0); SEG12 : Out STD_LOGIC_VECTOR(6 DOWNTO 0); SEG21 : Out STD_LOGIC_VECT

6、OR(6 DOWNTO 0); SEG22 : Out STD_LOGIC_VECTOR(6 DOWNTO 0); SOUND : Out STD_LOGIC; SP : In STD_LOGIC; Y1 : Out STD_LOGIC; Y2 : Out STD_LOGIC ); attribute MacroCell : boolean;End system;-architecture structure of system is Component clk_gen port ( clk_in : in STD_LOGIC; clk_out : out STD_LOGIC; clr : i

7、n STD_LOGIC; din : in STD_LOGIC_VECTOR(7 downto 0) ); End Component; Component get_bcd port ( AOUT : out STD_LOGIC_VECTOR(3 downto 0); COUT : out STD_LOGIC_VECTOR(3 downto 0); NUM : in integer range 0 to 35 ); End Component; Component seg7 port ( d_in : in STD_LOGIC_VECTOR(3 downto 0); seg_out : out

8、 STD_LOGIC_VECTOR(6 downto 0) ); End Component; Component state_controller port ( clk : in STD_LOGIC; g1 : out STD_LOGIC; g2 : out STD_LOGIC; num1 : out integer range 0 to 35 ; num2 : out integer range 0 to 35 ; r1 : out STD_LOGIC; r2 : out STD_LOGIC; RESET : in STD_LOGIC; sound : out STD_LOGIC; SP

9、: in STD_LOGIC; y1 : out STD_LOGIC; y2 : out STD_LOGIC ); End Component; Signal PinSignal_U1_clk_out : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU1_clk_out Signal PinSignal_U2_g1 : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_g1 Signal PinSignal_U2_g2 : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_g2 S

10、ignal PinSignal_U2_num1 : integer range 0 to 35 ; - ObjectKind=Net|PrimaryId=NetU2_num15.0 Signal PinSignal_U2_num2 : integer range 0 to 35 ; - ObjectKind=Net|PrimaryId=NetU2_num25.0 Signal PinSignal_U2_r1 : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_r1 Signal PinSignal_U2_r2 : STD_LOGIC; - ObjectK

11、ind=Net|PrimaryId=NetU2_r2 Signal PinSignal_U2_sound : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_sound Signal PinSignal_U2_y1 : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_y1 Signal PinSignal_U2_y2 : STD_LOGIC; - ObjectKind=Net|PrimaryId=NetU2_y2 Signal PinSignal_U3_AOUT : STD_LOGIC_VECTOR(3 downt

12、o 0); - ObjectKind=Net|PrimaryId=NetU3_AOUT3.0 Signal PinSignal_U3_COUT : STD_LOGIC_VECTOR(3 downto 0); - ObjectKind=Net|PrimaryId=NetU3_COUT3.0 Signal PinSignal_U4_AOUT : STD_LOGIC_VECTOR(3 downto 0); - ObjectKind=Net|PrimaryId=NetU4_AOUT3.0 Signal PinSignal_U4_COUT : STD_LOGIC_VECTOR(3 downto 0);

13、- ObjectKind=Net|PrimaryId=NetU4_COUT3.0 Signal PinSignal_U5_seg_out : STD_LOGIC_VECTOR(6 downto 0); - ObjectKind=Net|PrimaryId=SEG116.0 Signal PinSignal_U6_seg_out : STD_LOGIC_VECTOR(6 downto 0); - ObjectKind=Net|PrimaryId=SEG126.0 Signal PinSignal_U7_seg_out : STD_LOGIC_VECTOR(6 downto 0); - ObjectKind=Net|PrimaryId=SEG216.0 Signal PinSignal_U8_seg_out : STD_LOGIC_VECTOR(6 downto 0); -

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 总结/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号