福师《EDA技术》在线作业二满分哦1 (3)

上传人:哼**** 文档编号:108205757 上传时间:2019-10-22 格式:DOC 页数:8 大小:27KB
返回 下载 相关 举报
福师《EDA技术》在线作业二满分哦1 (3)_第1页
第1页 / 共8页
福师《EDA技术》在线作业二满分哦1 (3)_第2页
第2页 / 共8页
亲,该文档总共8页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《福师《EDA技术》在线作业二满分哦1 (3)》由会员分享,可在线阅读,更多相关《福师《EDA技术》在线作业二满分哦1 (3)(8页珍藏版)》请在金锄头文库上搜索。

1、福师EDA技术在线作业二-0004试卷总分:100 得分:100一、 多选题 (共 10 道试题,共 20 分)1.综合有哪几种形式()。A.RTLB.逻辑综合C.将逻辑门表示转换到版图表示正确答案:ABC2.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。A.设计输入B.综合C.布局布线D.仿真和编程正确答案:ABCD3.目前的EDA技术主要特点有哪些()。A.使用普及B.应用广泛C.工具多样D.软件功能强大正确答案:ABCD4.ASIC电路特点描述正确的是()。A.周期长B.投入高C.功耗低D.省面积正确答案:ABCD5.下面哪些是专业提供第三方EDA软件工具的公司()。A.

2、CadenceB.MentorC.SynopsysD.Synplicity正确答案:ABCD6.IP核一般分为哪几种()。A.硬核B.固核C.软核D.以上全不对正确答案:ABC7.衡量仿真器性能的重要指标有哪些()。A.仿真速度B.仿真的准确性C.仿真的易用性正确答案:ABC8.按照处理的HDL语言类型,仿真器可以分为()。A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器正确答案:ABC9.SPLD器件分为几类()。A.PROMB.PLAC.PALD.GAL正确答案:ABCD10.常用的综合工具有哪些()。A.FPGA ExpressB.FPGA compilerC.S

3、ynplify Pro正确答案:ABC二、 判断题 (共 40 道试题,共 80 分)1.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。A.错误B.正确正确答案:B2.仿真器按对设计语言的不同处理方式分为两类:编译型仿真器和解释型仿真器。A.错误B.正确正确答案:B3.如果只需要在上电和系统错误时进行复位操作,采用异步复位方式比同步复位方式好。A.错误B.正确正确答案:B4.Synplify是一种FPGA/CPLD的逻辑综合工具。A.错误B.正确正确答案:B5.PLD器件内部主要由各种逻辑功能部件和可编程开关构成。A.错误B.正确正确答案:B6.不

4、考虑信号时延等因素的仿真称为功能仿真。A.错误B.正确正确答案:B7.Verilog HDL中的常量主要有:整数,实数和字符串A.错误B.正确正确答案:B8.CPLD和FPGA都属于高密度可编程逻辑器件。A.错误B.正确正确答案:B9.Verilog HDL中assign为持续赋值语句。A.错误B.正确正确答案:B10.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。A.错误B.正确正确答案:B11.有限状态机非常适合于数字系统的控制模块。A.错误B.正确正确答案:B12.ISP和专用的编程器是FPGA常用的两种编程方式。A.错误B.正确正确答案:B13.IP核中的软核与生产

5、工艺无关,不涉及物理实现,为后续设计留有很大空间。A.错误B.正确正确答案:B14.IP核中的硬核可靠性高,能确保性能,能够很快投入使用。A.错误B.正确正确答案:B15.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。A.错误B.正确正确答案:B16.解释型仿真器速度慢一些,但可以随时修改仿真环境和仿真条件。A.错误B.正确正确答案:B17.JTAG是Joint Test Action Group, 联合测试行动组的缩写。A.错误B.正确正确答案:B18.硬件综合器和软件程序编译器没有本质区别。A.错误B.正确正确答案:A19.Veri

6、log HDL中实数型和字符串型常量是可以综合的。A.错误B.正确正确答案:A20.SOC是指把一个完整的系统集成在一个芯片上。A.错误B.正确正确答案:B21.用状态机进行设计具有速度快、结构简单、可靠性高等优点。A.错误B.正确正确答案:B售后或需要更多联系QQ1192235545 微信同步 22.有限状态机的复位分为两种:同步复位和异步复位。A.错误B.正确正确答案:B23.编译型仿真器的仿真速度快,但需要预处理,不能即时修改。A.错误B.正确正确答案:B24.对设计而言,采用的描述级别越高,设计越容易。A.错误B.正确正确答案:B25.IP是Intellectual Property的

7、缩写。A.错误B.正确正确答案:B26.仿真是EDA的精髓所在。A.错误B.正确正确答案:B27.ASIC是专用集成电路的缩写。A.错误B.正确正确答案:B28.数据流描述方式多用于组合逻辑电路。A.错误B.正确正确答案:B29.PLD是Programmable Logic Device,可编程逻辑器件的缩写。A.错误B.正确正确答案:B30.EDA是Electronic Design Automation,电子设计自动化的缩写。A.错误B.正确正确答案:B31.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。A.错误B.正确正确答案:B32.PL

8、D按照可编程的次数分为两类:一次性编程器件和可多次编程器件。A.错误B.正确正确答案:B33.Verilog HDL不支持条件语句。A.错误B.正确正确答案:A34.CAE是Computer Aided Engineering,计算机辅助工程的缩写。A.错误B.正确正确答案:B35.有限状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。A.错误B.正确正确答案:B36.GAL是Generic Array Logic,通用阵列逻辑的缩写。A.错误B.正确正确答案:B37.行为描述就是对设计实体的数学模型的描述,其抽象程度远高于结构描述。A.错误B.正确正确答案:B38.集成度是PLD器件的一项重要指标。A.错误B.正确正确答案:B39.目前常用的硬件描述语言为:Verilog HDL和 VHDL。A.错误B.正确正确答案:B40.把适配后生成的编程文件装入到PLD器件中的过程称为下载。A.错误B.正确正确答案:B

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 成考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号