简易地铁自动售票系统

上传人:今*** 文档编号:108140684 上传时间:2019-10-22 格式:DOCX 页数:18 大小:380.12KB
返回 下载 相关 举报
简易地铁自动售票系统_第1页
第1页 / 共18页
简易地铁自动售票系统_第2页
第2页 / 共18页
简易地铁自动售票系统_第3页
第3页 / 共18页
简易地铁自动售票系统_第4页
第4页 / 共18页
简易地铁自动售票系统_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《简易地铁自动售票系统》由会员分享,可在线阅读,更多相关《简易地铁自动售票系统(18页珍藏版)》请在金锄头文库上搜索。

1、数字电路与逻辑设计实验 实验报告 题目:简易地铁自动售票系统 学院:信息与通信工程学院 专业:电子信息工程 一、 设计课题的任务要求基本要求:a) 地铁票价统一为每张两元,只能投入币值为五元的人民币进行购票。b) 能够开机自检,检验显示器件正常。c) 通过按键开关BTN输入购票张数和投入的人民币张数并恰当显示相应信息。d) 设置适当的声音提示或显示提示表示取票和找零。e) 一次购票成功后系统能够恰当地转入下一次购票等待状态。f) 系统能够复位。提高要求:将投币种类增加为1元、5元和10元三种。二、 系统设计本次实验中采用的是自自顶向下的设计方法,即从整个系统功能出发,按一定原则将系统划分为若干

2、子系统,再将每个子系统分为若干功能模块,再将每个模块分成若干较小的模块直至分成许多基本模块实现。1.外部呈现结构CAT5,CAT4:用数码管显示购票张数CAT3,CAT2:显示总共输入的钱数BTN7:开始购票按键BTN6:输入购票张数确定按键BTN5:输入钱数确定按键/确定购买按键BTN4:复位键/取消键BTN3:通过按键按下次数输入购票张数BTN2:每按下1次,输入1张1元纸币BTN1:每按下1次,输入1张5元纸币BTN0:每按下1次,输入1张10元纸币LED7-0:通过8个灯不同的亮灭显示不同的状态全亮:开机自检及复位状态LED7亮:输入购票张数状态LED6亮:输入总钱数状态LED5,2亮

3、:显示购票成功亮灭亮灭亮灭亮灭:显示找零状态2、模块划分及功能1、计算模块:计算用户投币的总金额,以及用户的余额。2、显示模块:将计算的结果及用户操作信号译码后显示在数码管或LED灯上。3、输入模块:通过按键,开关输入信息 4、信号模块:系统对系统时钟,用户的买、选择、完成信号,显示 开关信号等信号的设置。3、自动售货机系统总体框图时钟按键数据投币控制器译码RAM分频器显示4、流程图5、MSD图S0:waite_stateS1:select_stateS2:insert_stateS3:ticket_stateS4:change_state三、 仿真波形及波形分析1、 仿真波形2、 波形分析上

4、面的波形只是仿真其中一个情况,顺序输入信息,中间没有按过取消,且输入钱数大于总票价,通过波形可以看出,购票成功且有找零,跟所预期吻合,说明仿真成功。四、 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity subway is port(clk :in std_logic; buy : in std_logic; yes : in std_logic; ok: in std_logic; start:in std_logic; no : in std_logic; count1:in

5、 std_logic; count2:in std_logic; count3:in std_logic; count0:in std_logic; f:out std_logic_vector(6 downto 0); sell:out std_logic_vector(5 downto 0); ticket_out : out std_logic_vector(2 downto 0); change_out : out std_logic_vector(3 downto 0); present_state : out std_logic; a,b,c,d:out std_logic:=0;

6、 -count_2,count_3:out std_logic; afford:out std_logic; beep: out std_logic; led:out std_logic_vector(7 downto 0) ); end subway; architecture behave of subway is type state_type is (waiting_state,select_state,insert_state,ticket_state, change_state); signal state:state_type; signal clk_out100,clk_out

7、200:std_logic; signal count_0,count_1,count_2,count_3:std_logic; signal tmp1:integer range 0 to 4999; signal tmp2:integer range 0 to 9999; signal count0temp,count1temp,count2temp,count3temp:std_logic; signal count0tempp,count1tempp,count2tempp,count3tempp:std_logic; signal ticket_count:std_logic_vec

8、tor(2 downto 0); signal total_money:std_logic_vector(3 downto 0); begin-分频-p1:process(clk) /2次分频,一次分为10Khz,一次分为5Khz,一个用于数码管扫描begin 一个用于按键消抖 if (clkevent and clk=1) then if tmp1=4999 then tmp1=0; else tmp1=tmp1+1; end if;end if;end process p1;p11:process(clk)begin if (clkevent and clk=1) thenif tmp2=

9、9999 then tmp2=0; else tmp2=tmp2+1;-shumaguan shizhongend if;end if;end process p11;p2:process(tmp1,tmp2)begin if tmp1=4999 then clk_out200=1;else clk_out200=0;end if ;if tmp2=9999 then clk_out100=1;else clk_out100=0;end if;end process p2;-process(clk)-begin-clk_out100=clk;-clk_out200=clk;-end proce

10、ss;-按键消抖-p3:process(clk_out100,count1,count2,count3)beginIF (clk_out100EVENT AND clk_out100=0) THENcount1tempp=count1temp;count1temp= count1;count2tempp=count2temp;count2temp= count2; count3tempp=count3temp;count3temp= count3;count0tempp=count0temp;count0temp= count0;END IF;count_1=clk_out100 AND co

11、unt1temp AND (NOT count1tempp);count_2=clk_out100 AND count2temp AND (NOT count2tempp);count_3=clk_out100 AND count3temp AND (NOT count3tempp);count_0=clk_out100 AND count0temp AND (NOT count0tempp);END PROCESS p3;-按键输入及计算-process(clk_out100,count_1,count_2,count_3)begin IF (clk_out100EVENT AND clk_

12、out100=1) THENif(buy=1) then ticket_count=000;total_money=0000;end if;if(no=1) then ticket_count=000;total_money=0000;end if; if (count_0=1) then a=1;ticket_count=ticket_count+001;end if ;if (count_1=1) thenc=1;total_money=total_money+0001;end if;if (count_2=1) thenb=1;total_money=total_money+0101;end if;if (count_3=1) thend=1;total_money=total_money+1010;end if;end if;end process;-各状态转换及输出-p4:process(clk_out100,total_money,ticket_count) variable flag:std_logic; variable sign:std_logic:=0

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号