可编程逻辑器件原理与应用第一章基础

上传人:今*** 文档编号:108103479 上传时间:2019-10-22 格式:PPT 页数:30 大小:3.35MB
返回 下载 相关 举报
可编程逻辑器件原理与应用第一章基础_第1页
第1页 / 共30页
可编程逻辑器件原理与应用第一章基础_第2页
第2页 / 共30页
可编程逻辑器件原理与应用第一章基础_第3页
第3页 / 共30页
可编程逻辑器件原理与应用第一章基础_第4页
第4页 / 共30页
可编程逻辑器件原理与应用第一章基础_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《可编程逻辑器件原理与应用第一章基础》由会员分享,可在线阅读,更多相关《可编程逻辑器件原理与应用第一章基础(30页珍藏版)》请在金锄头文库上搜索。

1、可编程逻辑器件原理与应用,电子通信工程系,第1章 学习使用可编程逻辑器件开发环境,1.1.1 认识可编程逻辑器件,数字集成电路,通用集成电路,专用集成电路,优点:成本低 缺点:难以满足复杂 电路要求,优点:针对专门用途 经过优化 缺点:开发周期长、 成本高风险大,1.1.1 认识可编程逻辑器件,2 可编程逻辑器件的发展概况,图1-6 可编程逻辑器件发展概况,1.1.1 认识可编程逻辑器件,1.6 可编程逻辑器件与FPGA,简单PLD的基本结构,1.1.1.2 简单PLD的结构,PLD中或阵列表示 阵列线连接表示,简单PLD的逻辑表示,PLD的互补缓冲器 PLD的互补输入 PLD中与阵列表示,1

2、.1.1.2 简单PLD的结构,1.1.1.2 简单PLD的结构,1.逻辑阵列:由与或阵列和反相器组成。 在与或阵列中每一个交叉点都是一个可编程熔丝,如果导通就是实现“与”逻辑,在“与”阵列后一般还有一个“或”阵列,用以完成最小逻辑表达式中的“或”关系。 另外,通过反相器可以得到信号的反变量,这样通过可编程与或阵列可以实现任意组合逻辑。,图1-8 与或阵列示意图,PROM阵列图,1.1.1.2 简单PLD的结构,PLA阵列图,Programmable Logic Array,1.1.1.2 简单PLD的结构,PAL阵列图,Programmable Array Logic,1.1.1.2 简单P

3、LD的结构,输出 逻辑宏单元,输入/输出口,输入口,时钟信 号输入,三态 控制,可编程 与阵列,固定或 阵列,GAL阵列图,1.1.1.2 简单PLD的结构,GAL和PAL最大的差别在于GAL有一种灵活的、可编程的输出结构,它只有几种基本型号,却可以代替数十种PAL器件,因而称为通用可编程逻辑器件。,GAL的可编程输出结构称为输出逻辑宏单元OLMC (Output Logic Macro Cell),1.1.1.2 简单PLD的结构,GAL 的 OLMC,S1S200时,低电平有效寄存器输出; S1S201时,高电平有效寄存器输出; S1S210时,低电平有效组合IO输出; S1S211时,高

4、电平有效组合IO输出。,其中4选1MUX用来选择输出方式和输出极性; 2选1MUX用来选择反馈信号(寄存器/组合反馈)。 可编程特征码S1、S2则控制着数据选择器的工作状态。,1.1.1.2 简单PLD的结构,PROM、PLA、PAL、GAL的主要区别在于哪个矩阵可编程以及输出结构的形式,见表1-1。,表1-1 简单可编程逻辑器件可编程与或阵列和输出结构表,1.1.1.2 简单PLD的结构,Logic Array Block,可编程连线阵列,1.1.1.3 CPLD的结构,I/O 控制块 (I/O B),Altera公司MAX 7000系列CPLD器件内部结构,1.1.1.3 CPLD的结构,

5、MAX7000系列单个宏单元结构,来自其它 宏单元 的乘积项,来自本宏单元 的乘积项,时钟选择,可编程的 内部连线 阵列,1.1.1.3 CPLD的结构,左侧为乘积项阵列,实际就是一个与阵列,每个交叉点都是一个可编程点。通过“与阵列”产生乘积项(最小项)。后面的或门把乘积项“加”起来,得到输出逻辑函数。,1.1.1.3 CPLD的结构,右侧是一个可编程D触发器,它的时钟、清零输入都可以编程选择,可以使用专用的全局清零和全局时钟。,1.1.1.4 FPGA的结构,图1-13 FPGA芯片结构示意图,FPGA芯片主要由可编程输入输出单元IOB、基本可编程逻辑单元CLB、时钟管理模块DCM、嵌入块式

6、RAM以及布线资源等组成。,查找表单元内部结构(LUT),1.1.1.4 FPGA的结构,1.1.1.4 FPGA的结构,1.1.1.4 FPGA的结构,Altera公司FPGA芯片的命名规则为:工艺+型号+封装+管脚+温度+芯片速度+(可选后缀)。,图1-16 Altera公司 FPGA器件型号命名示意,1.1.2 CPLD/FPGA开发语言和开发流程,1.1.2.1 硬件描述语言 1.1.2.2 基本开发流程 1.1.2.3 编程方式,1.1.2.1 硬件描述语言,HDL硬件描述语言是一种用文本形式的方法来描述数字电路和系统的语言。VDHL和Verilog HDL两种HDL语言广泛应用。

7、HDL(Hardware Description Language)语言包括VHDL、Verilog HDL语言、ABEL-HDL和AHDL等。 VHDL(Very High Speed Integrated Circuit)1982年美国国防部为军事研发,1987年形成IEEE标准版本。 Verilog HDL语言在1983年由GDA公司的PhilMoorby首创。 1995年形成了IEEE标准版本。,1.1.2.2 基本开发流程,对可编程逻辑器件的设计方法包括硬件设计和软件设计两部分。 硬件包括CPLD/FPGA芯片电路、存储器、输入输出接口电路以及其它外围设备,软件是相应的HDL程序或嵌

8、入式C程序。 对于CPLD/FPGA设计一般采用自顶向下,按照层次化、结构化的设计方法,从系统级到功能模块的软、硬件协同设计,达到软、硬件的无缝结合。,图1-17 CPLD/FPGA典型设计流程,1.1.2.2 基本开发流程,配置(configuration)是对FPGA的内容进行编程的过程。 每次上电后都需要进行配置是基于SRAM工艺FPGA的一个特点,也可以说是一个缺点。FPGA配置过程如下:,1.1.2.3 编程方式,1.1.2.3 编程方式,根据FPGA在配置电路中的角色,可以将配置方式分为三类: 1.FPGA主动串行(AS-Active Serial)方式 2. JTAG方式 3. FPGA被动(Passive)方式,1.1.3 Quartus II 开发环境及应用,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号