实验一 quartus ii 入门

上传人:ji****en 文档编号:107892390 上传时间:2019-10-21 格式:DOC 页数:54 大小:4.79MB
返回 下载 相关 举报
实验一 quartus ii 入门_第1页
第1页 / 共54页
实验一 quartus ii 入门_第2页
第2页 / 共54页
实验一 quartus ii 入门_第3页
第3页 / 共54页
实验一 quartus ii 入门_第4页
第4页 / 共54页
实验一 quartus ii 入门_第5页
第5页 / 共54页
点击查看更多>>
资源描述

《实验一 quartus ii 入门》由会员分享,可在线阅读,更多相关《实验一 quartus ii 入门(54页珍藏版)》请在金锄头文库上搜索。

1、实验一QUARTUS II 入门QUARTUS 操作步骤,以“二选一多路选择器”为例1、 如图1所示在F盘,建立以自己学号命令的文件夹如200913000000,并在其中建立命为EX1的文件夹,用来保存实验1的文件。图12、如图2所示,点击FlieNew Project Wizard 建立新的工程文件。图23、将出现如图3所示的界面,点击如图所示的省略号,选择工程文件所要保存的位置。图34、如图4所示,选中F:20091300000EX1并点击打开,进入如图5所示的界面图45、如图5所示,在工程文件保存的路径中显示刚才所选择的路径F:20091300000EX1图56、如图6所示,在What

2、is the name of this project的栏目中输入工程的名字本实验临时取mux21a。同时下面的顶层实体名也同步显示,然后点击Next进入到界面7。图67、如图7所示,本界面是需要选择已有的文件,因为我们是新的工程,暂时没建立任何文件,所以直接点击Next进入到界面8。图78、如图8所示,进行器件选择,我们要根据实验箱的芯片来进行器件选择。选择CycloneII系列。图89、如图9所示,在CycloneII系列下选择EP2C5T144C8的芯片。然后点击Next进行界面10。图910、如图10所示,此界面是选择额外的综合、仿真工具软件,因为QuartusII本身自带有这些功能,

3、所以我们不选择额外的工具软件。直接点击Next进入到界面11。图1011、如图11所示,此界面显示前面所有步骤的结果,包括文件保存路径、工程名、顶层实体名、芯片的系列与具体型号、额外的工具软件等等。检查没错后点击Finish,如果有错点击Back返回进行修改。图1112、如图12所示为已经建好工程的界面,显示工程名与工程文件路径。图1213、如图13所示,点击新建文件按钮或File New建立新文件,进入到如图14界面。图1314、如图14所示,在Device Design Files栏选择VHDLFile,然后点击OK进入下一界面。如果以后选择建立原理图输入或是其它语言文件,就可选择相应的文

4、件。图1415、如图15所示,为已经建立好的VHDL空白文件,点击保存,进入保存文件的界面。图1516、如图16所示,为文件保存界面,路径与工程文件统一,默认名为顶层实体名(工程名)。所以直接点击保存即可。图1617、如图17所示,在文件编辑区输入本次实验的VHDL代码。注意一些括号、分号,别少输或多输。图1718、如图18所示,输完代码后,即可对代码进行编译,点击编译按钮。图1819、如图19所示为编译过程,会显示编译进度与编译信息。绿色的为正常的信息提示,蓝色的为警告信息提示,红色的错误信息提示。出现错误时,编译会自动停止,然后根据错误提示进行排错。比较常见的错误是实体名与文件名不相同,少

5、输入了一些分号或括号。如果编译过程没错,则能见到编译成功的界面。图1920、如图20所示,为成功编译后的界面,会显示相关信息,如芯片信息、使用资源信息等等。接下来就可建议仿真文件进行仿真。图2021、如图21所示,点击New建立新文件,在Other file 栏选择Vector Waveform File,即建立仿真波形文件。图2122、如图22所示为新建vwf文件信息,双击红色矩形框所选的空白区域,弹出红色椭圆所选的插入信号节点的对话框。再点击Nober Finder图2223、如图23所示为点击Nobe Finder所出现的对话框,选中要仿真的文件名mux21a,然后点击List。图232

6、4、如图24所示为点击List所出现的对话框。图2425、如图25所示,点击小红色椭圆所选区域,即把信号节点选入仿真列表,再点击OK按钮。图2526、如图26所示,点击OK按钮。即可把信号节点添加进vwf文件里。图2627、如图27所示为信号节点添加进仿真文件。图2728、如图28所示为设置仿真时间区域的对话框,在Edit菜单中选择End Time项,即可弹出图面所示的画面,把单位选“us”,这里仿真时间设为10us,(仿真时间视情况而定)再点击OK按钮。图2829、如图29所示为在Edit菜单中选择Grid Size所出现的画面,此选项可以设置栅格的间隔大小,以方便手动画波形大小。设置完点击

7、OK按钮。图2930、如图30所示,用鼠标点击设置对应的波形大小,出现深颜色画面。图3031、如图31所示,设置信号波型a的周期,改变红色椭圆所选的区域的值就能改变周期大小。但必须注意的一点是设置的周期不能太小,即不能小于器件的固有延时,否则,仿真结果会出错。图3132、如图32所示为设置信号a周期大小的结果。图3233、如图33所示为设置信号b周期大小的对话框,这里设置为100ns。图3334、如图34所示为设置信号b周期大小的结果。图3435、如图35所示,通过点击放大放小按钮,可以自由地对信号波形进行查看。图3536、如图36所示,点击红色矩形所选的按钮进行手动设置信号波形的周期大小,视

8、情况自己设置周期大小。图3637、如图37所示,设置完信号波形周期后,点击FileSave,并且命名mux21a(默认)。图3738、保存完后,正式进入信号仿真阶段,点击如图38所示的红色矩形所选的波形仿真按钮进行仿真。图3839、仿真过程如图39所示,仿真的信息在下方可以出现,仿真成功之后,仿真结果会自动出现。图3940、如图40所示为时序仿真结果,可以通过放大放小按钮进行查看。图4041、如图41所示,通过了之前的时序仿真验证逻辑,接下来可以进行引脚锁定,选择Assignments菜单中的Pins项。图4142、如图42所示为选择Pins项出现的画面。图4243、如图43所示,在Locat

9、ion中选择对应实验箱中FPGA的引脚。(对应的引脚可在实验箱说明书Word文档中找到)图43.44、如图44所示是各输入输出引脚配置好的画面,其它选项暂时不用管(默认)。图44器件: Cyclone IIEP2C5T144C8 a: 8 b: 9 s:24 y: 31实验箱为模式5引脚号实验箱部件按键(按键)引脚号实验箱部件按键(LED灯)8按键131D19按键232D224按键340D325按键441D426按键542D527按键643D628按键744D730按键845D845、引脚配置好后,再编译一遍程序。 图4546、编译完之后,进入配置文件下载。点击红色椭圆所选的编程按钮,或者在菜

10、单Tool中选择Programmer。此时系统自动会出现配置文件mux21a.sof,在Mode中选择JTAG(默认)。并且对配置文件打钩,最后,点击Start进行配置文件下载。图46如果找不到下载线,请按如下步骤操作。实验箱下载方法 1、下载线驱动安装将下载线连接到电脑USB口后,将自动弹出驱动安装对话框 在弹出的对话中选择“从列表或指定位置安装(高级)”,点击“下一步”。在新对话框中,参数选择如下图:驱动程序位置:C:altera91quartusdriversusb-blaster,点击下一步,安装驱动。由于quartus版本不同,路劲“C:altera91”可能会有所变化。安装成功后,可以在设备管理器中看到“Altera USB-Blaster”信息。如果下载线连接后,未弹出驱动安装对话框,可以手动打开设备管理,可以看到驱动程序“USB-Blaster-ARM技术论坛”前带感叹号,对其点击鼠标右键,选择“更新驱动程序”,再选择前面所讲到的驱动安装方法进行安装。2、EDA实验箱使用USB下载方式,如图1所示,进入下载界面后,显示的如果是USBBlsaterUSB-0 时表示下载线联接正常,可直接点击Start 进行下载。如图2显示100则表示下载成功。 3、如果提示的不是USBBlsaterUSB-0时,如图2所示,表示没有联接到U

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号