pld数字时钟设计

上传人:ji****en 文档编号:107686861 上传时间:2019-10-20 格式:DOC 页数:33 大小:2.59MB
返回 下载 相关 举报
pld数字时钟设计_第1页
第1页 / 共33页
pld数字时钟设计_第2页
第2页 / 共33页
pld数字时钟设计_第3页
第3页 / 共33页
pld数字时钟设计_第4页
第4页 / 共33页
pld数字时钟设计_第5页
第5页 / 共33页
点击查看更多>>
资源描述

《pld数字时钟设计》由会员分享,可在线阅读,更多相关《pld数字时钟设计(33页珍藏版)》请在金锄头文库上搜索。

1、毕业设计 基于PLD的24小时数字钟的设计 系 电子信息工程系 专业 电子信息工程技术 姓名 杨雯 班级 电信122 学号_1201043224 指导教师 徐敏 职称 讲师 设计时间 2014.10.082015.04.08 摘 要本设计基于VHDL语言在EDA平台上采用自顶向下的设计方法用PLD设计一个数字电子钟。该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时。采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。利用VHDL语言完成了数字钟的设计。在Quartus开发环境中编译和仿真了所设计的程序,经过仿真结果表明,该设计方法切实可行,该数字时钟具有一定的实

2、际应用性,体现了现在EDA的发展方向。整个系统结构简单,使用方便,功能齐全,精度高,具有一定的开发价值。关键词: 数字钟,EDA,PLD,VHDL目录摘 要2目录3第一章 引 言41.1课题的背景、目的41.2设计内容41.3 方案论证51.3.1 设计要求51.3.2 方案论证5第二章 EDA、VHDL、PLD简介72.1 EDA技术72.2 FPGA/CPLD的概述72.3 硬件描述语言VHDL82.3.1 VHDL的简介82.3.2 VHDL语言的特点92.3.3 VHDL的设计流程9第三章 数字钟设计103.1数字钟的工作原理103.2数字钟模块设计113.2.1秒计数器模块的设计11

3、3.2.2分计数器模块的设计133.2.3小时计数器模块的设计163.2.4译码驱动模块的设计183.3数字钟模块图203.4晶体振荡器203.5分频器213.6数字钟原理图22第四章 系统仿真234.1秒计数器电路仿真234.2分计数器电路仿真234.3 小时计数器电路仿真234.4译码驱动电路仿真24总结与展望25致 谢26参考文献27附 件A28附 件B33第一章 引 言随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,数字钟作为计算机的一个组成也随之逐渐进入人们的生活,从先前的采用半导体技术实现的数字钟到现在广泛应用的采用高集成度芯片实现的数字钟。数字钟正在向

4、着功能强,体积小,重量轻等方向不断发展,本设计主要介绍的是一个基于描述语言VHDL对数字钟中显示电路进行编程实现。近年来,集成电路和计算机应用得到了高速发展,现代电子设计技术已迈入一个崭新的阶段,具体表现在:(1)电子器件及其技术的发展将更多地趋向于为EDA服务;(2)硬件电路与软件设计过程已高度渗透;(3)电子设计技术将归结为更加标准、规范的EDA工具和硬件描述语言VHDL的运用;(4)数字系统的芯片化实现手段已成主流。因此利用计算机和大规模复杂可编程逻辑器件进行现代电子系统设计已成为电子工程类技术人员必不可少的基本技能之一。1.1课题的背景、目的本次设计的目的就是在掌握EDA实验开发系统的

5、初步使用基础上,了解EDA技术,加深对硬件电路结构的理解。通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用数字钟的设计,巩固和综合运用EDA技术的基本理论和方法,理论联系实际,提高IC设计能力,提高分析、解决EDA技术实际问题的独立工作能力。通过毕业设计深入理解EDA技术和VHDL语言自顶向下设计的原理,达到毕业设计的目标。1.2设计内容利用VHDL设计数字钟电路的各个模块,并使用EDA工具对各模块进行仿真验证。数字钟显示电路的设计分为下面几个模块:秒计数模块、分计数模块、小时计数模块、显示模块。把各个模块整合后,

6、显示相应的输出状态。1.3 方案论证1.3.1 设计要求设计一个计时周期为24小时、显示满刻度为23小时59分59秒的数字电子钟。利用VHDL设计数字钟显示电路的各个模块,并使用EDA工具对各模块进行仿真验证。数字钟显示电路的设计分为下面几个模块:秒计数模块、分计数模块、小时计数模块.。完成以后把各个模块整合后,显示相应的输出状态。1.3.2 方案论证案一:采用数字电路集成块来完成。该方案电路由石英晶体振荡器、分频器、计数器、译码器显示器和校时等电路组成。其功能也主要依赖于数字电路的各功能模块的组合来实现。其原理框图如图1.1所示。显示器译码器分频器校时电路时计数器分计数器秒计数器译码器译码器

7、qiqiqi显示器晶体振荡器显示器图1.1 基于数字逻辑电路的电子钟方案二:采用单片机为控制核心,以软件编程来完成。该方案使用12MHZ晶振与单片机AT89C51相连接,通过软件编程的方法实现了以24小时为一个周期同时显示小时,分钟和秒的要求,并在计时过程中具有报时功能,当时间到达整点进行蜂鸣报时。并设有三个按键:s1,s2和s3键,使之具备了校时、定时功能。其原理框图如图1.2所示。单片机显示电路负载图1.2 基于单片机的数字电子钟方案三:以FPGA为核心来完成,以软件编程来完成。该方案利用EDA技术,采用VHDL语言编程实现,通过FPGA芯片控制每一个模块,其原理框图如图1.3所示。图1.

8、3 基于FPGA的数字电子钟对于方案一,设计的电路相当复杂,焊接的过程比较复杂,成本也非常高。对于方案二,其本身电路比较简单,其功能的实现主要通过软件编程来完成,这样就降低了硬件电路的复杂性,而且其成本也有所降低。但由于FPGA芯片的功能强大,且下载方便,无需像方案二要专门的下载工具。其中,采用VHDL(Very High Speed Integrated Circuit Hardware Description Language)超高速集成电路硬件描述语言设计复杂数字电路的方法具有很多优点,VHDL语言的设计技术齐全、方法灵活、支持广泛;另外其系统硬件描述能力很强,具有多层次描述系统硬件功能

9、的能力,可以从系统级到门级电路,而且高层次的行为描述可以与低层次的RTL描述混合使用;它在描述数字系统时,可以使用前后一致的语义和语法跨越多层次,并且使用跨越多个级别的混合描述模拟该系统,因而可以对高层次行为描述的子系统及低层次详细实现子系统所组成的系统进行模拟。所以,为了利用学校实验室FPGA/CPLD开发工具系列的现有资源,本设计采用方案三。第二章 EDA、VHDL、PLD简介2.1 EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,EDA是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)

10、完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。2.2 FPGA/CPLD的概述FPGA(现场可编程门阵列)与CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL,G

11、AL等逻辑器件的基础之上发展起来的。同以往的PAL,GAL等相比较,FPGACPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGACPLD实际上就是一个子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。尽管FPGA,CPLD和其它类型PLD的结构各有其特点和长处,但概括起来,它们是由三大部分组成的。一个二维的逻辑块阵列,构成了PLD器件的逻辑组成核心。输入输出块:连接逻辑块的互连资源。连线资源:由各种长度的连线线段组成,其中也有一些可编程的连接开关,它们用于逻辑块之间、逻辑块与输入输出块之间的连

12、接。对用户而言,CPLD与FPGA的内部结构稍有不同,但用法一样,所以多数情况下,不加以区分。FPGACPLD芯片都是特殊的ASIC芯片,它们除了具有ASIC的特点之外,还具有以下几个优点:(1)随着VlSI(Very Large Scale IC,超大规模集成电路)工艺的不断提高单一芯片内部可以容纳上百万个晶体管, FPGACPLD芯片的规模也越来越大,其单片逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。(2)FPGACPLD芯片在出厂之前都做过百分之百的测试,不需要设计人员承担投片风险和费用,设计人员只需在自己的实验室里就可以通过相关的软硬件环境来完成芯片的最

13、终功能设计。所以, FPGACPLD的资金投入小,节省了许多潜在的花费。(3)用户可以反复地编程、擦除、使用或者在外围电路不动的情况下用不同软件就可实现不同的功能。所以,用FPGAPLD 试制样片,能以最快的速度占领市场。 FPGACPLD软件包中有各种输入工具和仿真工具,及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯片的制作。 当电路有少量改动时,更能显示出FPGACPLD的优势。电路设计人员使用FPGACPLD进行电路设计时,不需要具备专门的IC(集成电路)深层次的知识, FPGACPLD软件易学易用,可以使设计人员更能集中精力

14、进行电路设计,快速将产品推向市场。2.3 硬件描述语言VHDL2.3.1 VHDL的简介VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。2.3.2 VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺u无关,与工艺独立,方便工艺转换。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号