EDA音乐播放器课程设计

上传人:油条 文档编号:107490816 上传时间:2019-10-19 格式:DOC 页数:30 大小:397.80KB
返回 下载 相关 举报
EDA音乐播放器课程设计_第1页
第1页 / 共30页
EDA音乐播放器课程设计_第2页
第2页 / 共30页
EDA音乐播放器课程设计_第3页
第3页 / 共30页
EDA音乐播放器课程设计_第4页
第4页 / 共30页
EDA音乐播放器课程设计_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《EDA音乐播放器课程设计》由会员分享,可在线阅读,更多相关《EDA音乐播放器课程设计(30页珍藏版)》请在金锄头文库上搜索。

1、洛阳理工学院课程设计(论文) 摘 要 本课程设计是实现演奏三首乐曲演奏电路的硬件实现。总共分为五个模块,分别为20MHZ转换1MHZ分频模块,1MHZ转换4HZ分频模块,地址计数器模块,rom存储器模块,乐音分频模块。音符的频率由乐音分频模块获得,这是一个数控分频器。由时钟端输入一具有1MHZ的信号,分频比由预置数值决定,其输出频率将决定每一个音符的音调。音符的持续时间根据乐曲的速度及每个音符的节拍数来决定。三首乐曲分别为”两只老虎”,“找朋友”,“世上只有妈妈好”。关键词:乐曲演奏电路,20MHZ转换1MHZ分频模块,1MHZ转换4HZ分频模块, 地 址计数器模块 ,rom存储器模块 ,乐音

2、分频模块 Pick to This course is designed to play music three play hardware implementation of the circuit. A total is divided into five modules, respectively for 20 MHZ conversion 1 MHZ frequency module, 1 MHZ conversion 4 HZ frequency module, address counter module, ROM memory module, dividing sound mod

3、ule. Note the frequency of the sound frequency module, a CNC divider. By the input, a signal with 1 MHZ clock end, frequency division ratio determined by the preset value, the output frequency will determine the tones of every note. The duration of the notes according to the number of speed and ever

4、y note of the beat of the music to decide. Three piece respectively two tigers, find friends, only a mother good in the world.Keywords: music playing circuit, 20 MHZ conversion 1 MHZ frequency module, 1 MHZ conversion 4 HZ frequency module, address countII洛阳理工学院课程设计论文 前言电子技术飞速发展,传统的电子电路设计已经满足不了人们的要求

5、,可编程逻辑逻辑器件和EDA技术的运用大大地提高了工作效率。大大地减轻了电路设计和PCB设计的难度。由于本人出于对音乐的热爱,故用EDA技术设计了一款音乐播放器。设计要求连续播放三首乐曲。设计采取用quartusII进行仿真,然后用开发板进行测试。基于工程领域中EDA技术应用的巨大实用价值,以及重视实践能力和创新意识培养的重要性,所以对各个模块进行了严格的测试。这是本人的第一次的关于EDA的作品,如果有不足之处,欢迎指正,本人邮箱liu_shu_。 III 目 录 第1章 分频器11.1 分频器11.2 20MHZ转换为1MHZ分频器11.3 1MHZ转换为4HZ分频器11.4 乐音分频器2第

6、2章 存储器与地址发生器62.1 地址发生器62.2 rom存储器6第3章 顶层电路设计143.1播放器的顶层电路143.2 播放器的组成14第4章 课程设计总结15参考文献16附录17 IV 第1章 分频器1.1 分频器 分频器有三个,分别是20MHZ转换为1MHZ分频器,1MHZ转换为4HZ分频器,乐音分频器。1.2 20MHZ转换为1MHZ分频器 因为开发板提供的时钟信号为20MHZ的时钟信号,为了给乐音分频器和1MHZ转换为4HZ的分频器提供1MHZ的时钟信号,故设计了此分频器。主要实现的功能是20MHZ的输出信号转换为1MHZ的输出信号,分频器的符号图如图1-1所示。 图1-1 20

7、MHZ转换为1MHZ分频器 波形如图1-2所示。 图1-2 20MHZ转换为1MHZ分频器波形1.3 1MHZ转换为4HZ分频器 4 HZ的时钟信号是乐谱节拍信号,为地址计数器与rom存储器提供信号。分频器的符号图如图1-3所示。 图1-3 1MHZ转换为4HZ分频器 波形如图1-4所示。 图1-4 1MHZ转换为4HZ分频器1.4 乐音分频器 由1MHZ提供时钟信号,根据乐谱存储器的输出得到对应音符的分频值,然后输出相应的方波信号。符号图如图1-5所示。 图1-5 乐音分频器 本人设计的乐音分频器有87个分频值,由于播放固定三首乐曲,使用到11个音符的分频值,篇幅有限,故只列出11个波形图。

8、 乐音分频输出部分波形如图1-6所示。 图1-6 乐音分频器输出部分波形 乐音分频输出部分波形如图1-7所示。 图1-7 乐音分频器输出部分波形 乐音分频输出部分波形如图1-8所示。 图1-8 乐音分频器输出部分波形 乐音分频输出部分波形如图1-9所示。 图1-9乐音分频器输出部分波形 乐音分频输出部分波形如图1-10所示。 图1-10乐音分频器输出部分波形 乐音分频输出部分波形如图1-11所示。 图1-11乐音分频器输出部分波形 乐音分频输出部分波形如图1-12所示。 图1-12乐音分频器输出部分波形 乐音分频输出部分波形如图1-13所示。 图1-13 乐音分频器输出部分波形 乐音分频输出部

9、分波形如图1-14所示。 图1-14 乐音分频器输出部分波形 乐音分频输出部分波形如图1-15所示。 图1-15 乐音分频器输出部分波形 乐音分频输出部分波形如图1-16所示。 图1-16 乐音分频器输出部分波形 第2章 存储器与地址发生器2.1 地址发生器 九位二进制计数器,内部设置计数最大值为474,作为音符数据rom存储器的地址发生器。这个计数器的计数频率为4HZ。其符号图如图2-1所示。 图2-1 地址发生器2.2 rom存储器 rom存储器存放的数据是三首乐曲的信息,分别为“两只老虎”,“找朋友”,“世上只有妈妈好”。由4HZ的信号控制时间,根据地址发生器的输出值作为rom存储器的地

10、址输入,驱动rom存储器相对应的数据输出。其符号图如图2-2所示。 图2-2 rom存储器 rom存储器mif文件如表2-1所示。 表2-1 rom存储器mif文件数据 rom存储器输出数据波形如图2-3所示。 图2-3 rom存储器波形 rom存储器输出数据波形如图2-4所示。 图2-4 rom存储器波形rom存储器输出数据波形如图2-5所示。 图2-5 rom存储器波形rom存储器输出数据波形如图2-6所示。 图2-6 rom存储器波形 rom存储器输出数据波形如图2-7所示。 图2-7 rom存储器波形 rom存储器输出数据波形如图2-8所示。 图2-8 rom存储器波形 rom存储器输出数据波形如图2-9所示。 图2-9 rom存储器波形 rom存储器输出数据波形如图2-10所示。 图2-10 rom存储器波形 rom存储器输出数据波形如图2-11所示。 图2-11 rom存储器波形rom存储器输出数据波形如图2-12所示。 图2-12 rom存储器波形 rom存储器输出数据波形如图2-13所示。 图2-13 rom存储器波形 rom存储器输出数据波形如图2-14所示。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号