quartus时序约束与时序分析概要

上传人:今*** 文档编号:107190634 上传时间:2019-10-18 格式:PPT 页数:34 大小:1.85MB
返回 下载 相关 举报
quartus时序约束与时序分析概要_第1页
第1页 / 共34页
quartus时序约束与时序分析概要_第2页
第2页 / 共34页
quartus时序约束与时序分析概要_第3页
第3页 / 共34页
quartus时序约束与时序分析概要_第4页
第4页 / 共34页
quartus时序约束与时序分析概要_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《quartus时序约束与时序分析概要》由会员分享,可在线阅读,更多相关《quartus时序约束与时序分析概要(34页珍藏版)》请在金锄头文库上搜索。

1、时序约束与时序分析,设计中常用的约束,设计中常用的约束(Assignments或Constraints)主要分为3类: 时序约束:主要用于规范设计的时序行为,表达设计者期望满足的时序条件,指导综合和布局布线阶段的优化算法等。 区域与位置约束:主要用于指定芯片I/O引脚位置以及指导实现工具在芯片特定的物理区域进行布局布线。 其他约束:泛指目标芯片型号、接口位置,电气特性等约束属性。,时序约束的主要作用,提高设计的工作频率 通过附加时序约束可以控制逻辑的综合、映射、布局和布线,以减小逻辑和布线延时,从而提高工作频率。 获得正确的时序分析报告 QuartusII的静态时序分析(STA)工具以约束作为

2、判断时序是否满足设计要求的标准,因此要求设计者正确输入时序约束,以便STA工具能输出正确的时序分析结果。,静态时序分析与动态时序仿真的区别,动态时序仿真是针对给定的仿真输入信号波形,模拟设计在器件实际工作时的功能和延时情况,给出相应的仿真输出信号波形。它主要用于验证设计在器件实际延时情况下的逻辑功能。由动态时序仿真报告无法得到设计的各项时序性能指标,如最高时钟频率等。 静态时序分析则是通过分析每个时序路径的延时,计算出设计的各项时序性能指标,如最高时钟频率、建立保持时间等,发现时序违规。它仅仅聚焦于时序性能的分析,并不涉及设计的逻辑功能,逻辑功能验证仍需通过仿真或其他手段(如形式验证等)进行。

3、静态时序分析是最常用的分析、调试时序性能的方法和工具。,QuartusII中的时序分析报告,Timing analyzer: Timing analyzer settings:时序分析设置,包括目标器件、时序分析报告中报告的内容、时序约束。 Timing analyzer summary:时序分析概要 Clock setup:时间建立关系 tsu:输入建立时间 th:输入保持时间 tco:时钟到输出延时 tpd:管脚到管脚延时 Minimum tpd & tco:最小tpd & tco,设计中常用的时序概念,时钟偏斜 周期与最高频率 建立时间 保持时间 时钟到输出延时 管脚到管脚延时 Slac

4、k,时钟偏斜,时钟偏斜:指一个同源时钟到达两个不同的寄存器时钟端的时间差别。 clock skew:The difference in the arrival time of a clock signal at two different registers, which can be caused by path length differences between two clock paths, or by using gated or rippled clocks. Clock skew is the most common cause of internal hold violati

5、ons.,最小时钟周期与最高时钟频率,最小时钟周期: tCLK = Microtco + tLOGIC + tNET + MicrotSU tCLK_SKEW tCLK_SKEW = tCD2 tCD1 最高时钟频率: fmax = 1 / tCLK,同步电路数据传输模型,Tips,同步系统的运行速度 即同步时钟的速度。同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间处理的数据量就愈大。 setup slack = () - ( + + + - ),建立时间,建立时间:在触发器的时钟信号有效沿到来以前,数据和使能信号必须保持稳定不变的最小时间。如果建立时间不够,数据将不能在该时钟沿被正

6、确打入触发器。 tSU = Data Delay + MicrotSU Clock Delay,Tips,tSU (clock setup time) : The length of time for which data that feeds a register via its data or enable input(s) must be present at an input pin before the clock signal that clocks the register is asserted at the clock pin. tSU = + - tSU slack = -

7、 ,保持时间,保持时间:在触发器的时钟信号有效沿到来以后,数据和使能信号必须保持稳定不变的最小时间。如果保持时间不够,数据同样不能被正确打入触发器。 tH = Clock Delay Data Delay + MicrotH,Tips,tH (clock hold time) : The minimum length of time for which data that feeds a register via its data or enable input(s) must be retained at an input pin after the clock signal that cl

8、ocks the register is asserted at the clock pin. tH = + - minimum tH slack = - ,时钟到输出延时,时钟到输出延时:从时钟信号有效沿到数据有效的时间间隔。 tCO = Clock Delay + MicrotCO + Data Delay,Tips,tCO (Clock to output delay) : The maximum time required to obtain a valid output at an output pin that is fed by a register after a clock

9、signal transition on an input pin that clocks the register. This time always represents an external pin-to-pin delay. tCO = + + tCO slack = - ,管脚到管脚延时,管脚到管脚延时tPD:指信号从输入管脚进来,穿过纯组合逻辑,到达输出管脚的延迟。由于CPLD的布线矩阵长度固定,所以常用最大管脚到管脚延时标准CPLD的速度等级。 tPD (pin-to-pin delay) :The time required for a signal from an inpu

10、t pin to propagate through combinational logic and appear at an external output pin. In the Quartus II software, you can specify the required tPD for the entire project and/or for any input pin, output pin, or bidirectional pin. You can also assign a point-to-point tPD assignment to specify the requ

11、ired delay between an input pin and a register, a register and a register, and a register and an output pin.,Slack,Slack用于表示设计是否满足时序:正的Slack表示满足时序(时序裕量),负的Slack表示不满足时序(时序的欠缺量)。 Slack: Slack is the margin by which a timing requirement was met or not met. A positive slack value, displayed in black, in

12、dicates the margin by which a requirement was met. A negative slack value, displayed in red, indicates the margin by which a requirement was not met. slack = - ,Slack: setup slack,Setup Slack = Slack Clock Period (Microtco + Data Delay + MicrotSU ) setup slack = () - ( + + + - ),Slack: hold slack,Ho

13、ld Slack = Microtco + Data DelayMicrotH(BA),本章概要:,时序约束与时序分析基础 常用时序概念 QuartusII中的时序分析报告 设置时序约束 全局时序约束 个别时序约束,全局时序约束与个别时序约束,全局时序约束即指定工程范围内通用的全局性时序约束。 个别时序约束即对特殊的结点、路径、分组、模块指定个别性的时序约束。 个别时序约束的优先级高于全局时序约束。 QuartusII中常用的设置时序约束的途径: 【Assigments】/【Timing Settings】 【Assigments】/【Wizards】/【Timing Wizard】 【Ass

14、igments】/【Assigment Editor】,指定全局时序约束,时序驱动的编译 全局时钟设置 全局的I/O时序设置 时序分析和报告选项 时序向导,时序驱动的编译,全局时钟设置,全局的I/O时序设置,时序分析和报告选项,时序分析和报告选项,时序向导,指定个别时序约束,指定个别时钟要求 个别时序约束 输入最大最小延时 输出最大最小延时 反相时钟 非时钟 tCO要求(最大、最小)、 tSU要求、 tH要求、 tPD要求 剪除时序路径 个别时序约束的对象 单点 点到点 通配符 时序组,指定个别时钟要求,时钟分类: 独立时钟 衍生时钟,指定个别时钟要求,独立时钟之间是非相关时钟,而独立时钟和其衍生时钟之间是相关时钟,缺省情况下,QuartusII不分析非相关时钟之间的路径。 指定独立时钟要求时,须显式指定该时钟的Fmax和占空比。 指定衍生时钟要求时,只需指定衍生时钟相对于产生该衍生时钟的独立时钟的相位差、分频或倍频比等参数。,指定个别时钟要求,指定个别时钟要求,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号