第3章quartusii软件安装及使用剖析

上传人:今*** 文档编号:106890911 上传时间:2019-10-16 格式:PPT 页数:42 大小:1.97MB
返回 下载 相关 举报
第3章quartusii软件安装及使用剖析_第1页
第1页 / 共42页
第3章quartusii软件安装及使用剖析_第2页
第2页 / 共42页
第3章quartusii软件安装及使用剖析_第3页
第3页 / 共42页
第3章quartusii软件安装及使用剖析_第4页
第4页 / 共42页
第3章quartusii软件安装及使用剖析_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《第3章quartusii软件安装及使用剖析》由会员分享,可在线阅读,更多相关《第3章quartusii软件安装及使用剖析(42页珍藏版)》请在金锄头文库上搜索。

1、第3章 QuartusII软件安装及使用,3.1 Quartus II简介 3.2 Quartus II 9.0软件的安装 3.3 Quartus II 9.0的使用 本章小结,第3章 QuartusII软件安装及使用,Quartus II是用于电子系统设计的EDA开发软件,本章介绍其特点、功能及安装过程,并详细介绍应用Quartus II进行设计的基本流程和工具的使用方法,其中包括设计输入、编译设计、波形仿真、器件编程与配置等。,3.1 Quartus II简介 3.1.1 Quartus II 软件的特点 3.1.2 Quartus II设计流程,3.1.1 Quartus II 软件的特

2、点 Quartus II是Altera公司推出的新一代、功能强大的可编程逻辑器件PLD设计环境。Quartus II软件提供了EDA设计的综合开发环境,是EDA设计的基础。Quartus II集成环境支持设计输入、编译、综合、布局、布线、时序分析、仿真、编程下载等EDA设计过程。Quartus II 软件的特点如下:,1.基于模块的设计方法,提高了工作效率。Altera特别为Quartus II软件用户提供LogicLock基于模块的设计方法,便于用户独立设计和实施各种设计模块,并且在将模块集成到顶层工程时仍可以维持各个模块性能。由于每一个模块都只需要进行一次优化,所以LogicLock流程可

3、以显著缩短设计和验证的周期。,2.更快集成IP。Altera的Quartus II软件包括SOPC Builder工具。SOPC Builder针对可编程单芯片系统(SOPC)的各种应用自动化完成IP核(包括嵌入式处理器、协处理器、外设、存储器和用户设定的逻辑)的添加、参数设置和连接等操作。SOPC Builder节约了原先系统集成工作中所需要的大量时间,使设计人员能够在几分钟内将他们的概念转化成为真正可运行的系统。,3.在设计周期的早期就对I/O引脚进行分配和确认。Quartus II软件可以进行预先的I/O分配和确认的操作(无论顶层的模块是否已经完成),这样就可以在整个设计流程中尽早开始印

4、刷电路板的布线设计工作。同样,设计人员可以在任何时间对引脚的分配进行修改和确认,无需再进行一次设计编译。软件还提供各种分配编辑的功能,例如选择多个信号和针对一组引脚同时进行的分配修改等,所有这些都进一步简化了引脚分配的管理。,4.存储器编译器。用户可以使用Quartus II软件中提供的存储器编译器功能对Altera FPGA中的嵌入式存储器进行轻松管理。Quartus II软件的4.0版本和后续版本都增加了针对FIFO和RAM读操作的基于现有设置的波形动态生成功能。,5.支持CPLD、FPGA和基于HardCopy的ASIC。除了CPLD和FPGA以外,Quartus II软件还使用和FPG

5、A设计完全相同的设计工具、IP和验证方式支持HardCopy Stratix器件系列,在业界首次允许设计工程师通过易用的FPGA设计软件来进行结构化的ASIC设计,并且能够对设计后的性能和功耗进行准确的估算。,6.用全新的命令行和脚本功能自动化设计流程。用户可以使用命令行或Quartus II软件中的图形界面独立运行Quartus II软件中的综合、布局布线、时序分析以及编程等模块。除了提供Synopsys设计约束(SDC)的脚本支持以外,Quartus II软件中目前还包括了易用的工具命令语言(Tcl)界面,允许用户使用该语言来创建和定制设计流程和满足用户的需求。,7.高级教程帮助您深入了解

6、Quartus II的功能特性。Quartus II软件提供详细的教程,覆盖从工程创建、普通设计、综合、布局布线到验证等在内的各种设计任务。Quartus II软件4.0以及后续版本包括如何将MAX+PLUSII软件工程转化成为Quartus II软件工程的教程。QuartusII软件还提供附加的高级教程,以帮助技术工程师快速掌握各种最新的器件和设计方法。,3.1.2 Quartus II设计流程,Quartus II设计流程如图3-1所示。 图3-1 Quartus II设计流程,3.2 Quartus II 9.0软件的安装 3.2.1软件下载 3.2.2安装Quartus II 9.0,

7、3.2.1软件下载,下载Quartus II 9.0正式版: ,3.2.2安装Quartus_II_9.0,下载90 quartus_windows.exe,这是个自解压文件,如图3-2所示,有2.4G大小,双击,解压到非系统盘(这个安装文件比较大,建议解压到其它盘再安装,否则可能会出现C盘空间不足的现象),接下来默认安装即可。 图3-2 Quartus II 9.0安装文件,安装Quartus II 9.0软件步骤: 1确认机器上尚未安装任何版本的Quartus软件。若有,则需要先行卸载,然后重新安装。 2打开Quartus II 9.0软件包源文件所在位置,运行quartussetup.e

8、xe,开始安装过程。按屏幕提示进行,并建议采用默认路径,如图3-3所示。 图3-3 软件包源文件解压后的界面,3.进入Quartus II 9.0安装界面,如图3-4所示,按屏幕提示进行安装。 图3-4 安装界面 4.为节约安装空间,在提示选择安装方式时,点选Custom以自定义安装组件,如图3-5所示。 图3-5 选择自定义安装方式,5.按图3-6所示点选需安装的组件,其中QuartusII、Cyclone II support为本实验系统必选项,图3-7是正在安装的界面。 图3-6 选择需安装组件图 3-7 正在安装的界面,3.3 Quartus_II_9.0的使用 3.3.1工程的创建与

9、打开 3.3.2设计输入 3.3.3 编译设计 3.3.4波形仿真 3.3.5器件编程与配置 3.3.6 Quartus II 9.0的RTL阅读器,3.3.1工程的创建与打开,任何一项设计都是一项工程,必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹,此文件夹将被EDA软件默认为工作库。一般地,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。还应该特别注意,不要将文件夹设在计算机已有的安装目录中,也不要将工程文件夹建立在“桌面”上,更不要将工程文件直接安放在安装目录中。在建立文件夹后就可以创建工程了,步骤如下:,1. 新建一个文件夹。首先可以利

10、用Window资源管理器新建一个文件夹。这里假设本项设计的文件夹取名为EEDA,在E盘中路径为E:/EEDA。 (注:要求任何目录名、文件名、实体名等均不能使用中文,也最好不要用数字。) 2. 进入Quartus II 9.0,点击菜单“File New Project Wizard”,开始新建一个工程。 3. 当屏幕提示键入新建工程的工作路径时,单击此对话框第一栏右侧的“”按钮,找到文件夹E:/EEDA,再根据情况输入合适的工程名及顶层实体名(注:可使用默认的顶层实体名)。其中第一行的的E:/EEDA表示工程所在的工作库文件夹;第二行的EDA表示此项工程的工程名,工程名可以取任何其他的名,也

11、可直接用顶层文件的实体名作为工程名;第三行是当前工程顶层文件的实体名,这里即为EDA。如图3-8所示。 图3-8 利用New Project Wizard创建工程EDA,4. 将设计文件加入工程中。单击下方的Next按钮,在弹出的对话框中单击File栏后的按钮,将与工程相关的所有VHDL文件(如果有)加入此工程,即得到如图3-9所示的情况。 此工程文件加入的方法有两种:第一种是单击Add All按钮,将设定的工程目录中的所有VHDL文件加入到工程文件栏中;第二种是单击Add按钮,从工程目录中选出相关的VHDL文件。 图3-9 将所有相关的文件加入此工程,5. 选择目标芯片。单击Next按钮,选

12、择目标器件。当屏幕提示选择工程将使用的器件时,依照实验系统所使用的FPGA芯片,在Device Family下拉表框中选择芯片系列,在此选择Cyclone系列,在可选器件列表中选择EP1C12Q240C8。便捷的方法是通过Show inAvailable devicelist所示窗口右边的3个下拉列表框选择过滤条件,分别选择Package为Any QFP、Pin count 为240和Speed grade 为8。如图3-10所示。 图3-10 选择工程将使用的目标芯片,6. 工具设置。单击Next按钮后,弹出的下一个窗口是EDA工具设置窗口-EDA Tool Settings。此窗口有3项选

13、择:EDA design entry/synthesis tool用于选择输入的HDL类型和综合工具;EDA simulation tool用于选择仿真工具;EDA timing analysis tool用于选择时序分析工具,这是除Quartus II自含的所有设计工具以外,还包括外加的工具,因此,如果都不作选择,表示仅选择Quartus II自含的所有设计工具。如图3-11所示。 图3-11 EDA工具设置窗口,7. 点击Finish按钮,完成工程新建,进入Quartus II 9.0开发环境,在工程导航器栏目中将出现新建工程的基本信息,如图3-12所示。 图3-12 工程导航器栏,3.3

14、.2设计输入,1.原理图编辑输入 新建项目后,就可以绘制原理图。 2.文本编辑输入 新建项目后,可以进行文本程序的输入(注意:文本程序输入前必须先打开已经创建的项目)。 3.使用宏功能设计输入 Altera器件提供了一系列宏功能模块供设计者使用,如片上存储器、DSP模块、LVDS驱动器、嵌入式PLL及SERDES和DDIO电路等。这些模块的应用主要是为了提高EDA电路设计的效率和可靠性。设计者只要根据实际电路的设计需要,选择LPM库中的适当模块,为其设定适当的参数,便可以满足自己的设计指标,从而成功地达到优秀电子工程师的设计成果。,3.3.3 编译设计 Quartus II编译器是由一系列处理

15、模块构成的,这些模块负责对设计项目的检错、逻辑综合、结构综合、输出结果的编译配置以及时序分析。在这一过程中,将设计项目适配到FPGA/CPLD目标器中,同时产生多种用途的输出文件,如功能和时序信息文件、器件编程的目标文件等。编译器首先检查出工程设计文件中可能的错误信息,以供设计者排除,然后产生一个结构化的以网表文件表达的电路原理图文件。设计完成后,可以对原理图或VHDL文本程序进行编译,具体步骤如下:,1. 点击菜单“Processing Start Compilation”,开始对工程进行综合。在此过程中,状态栏、信息栏将分别实时显示分析、综合、适配的进度及有关信息,如图3-27所示。 2.

16、 当综合结束时,系统将给出有关综合过程的总结,主要说明设计的综合编译是否成功、设计是否存在错误或警告,并给出设计所占用的PLD硬件资源等,如图3-28所示。 图3-27 编译的过程描述图 3-28 编译过程结束后的总结信息,3. 某些情况下,设计中存在警告性问题可能不会影响其功能实现,但如果存在错误(error)的话,则设计不可能正确实现其功能,后续的仿真及编程下载也无法进行。 注意:编译过程中要注意工程管理窗口下方的Processing栏中的编译信息。对于Processing栏显示出的语句格式错误,可双击此条文,即弹出对应的VHDL文件,在深色标记条处即为文件中的错误。如果发现报出多条错误信息,一般只需检查和纠正最上面报出的错误。因为许多情况下,都是由于某一种错误导致了多条错误信息报告。,3.3.4波形仿真 当程序编译通过后,可以进行波形仿真。下面以与逻辑为例,讲解波形仿真的方法与具体步骤。 1. 点击菜单“File New”,选择Vector Waveform File,再单击“OK

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号