fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解

上传人:今*** 文档编号:106396699 上传时间:2019-10-15 格式:PPT 页数:99 大小:3.48MB
返回 下载 相关 举报
fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解_第1页
第1页 / 共99页
fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解_第2页
第2页 / 共99页
fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解_第3页
第3页 / 共99页
fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解_第4页
第4页 / 共99页
fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解_第5页
第5页 / 共99页
点击查看更多>>
资源描述

《fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解》由会员分享,可在线阅读,更多相关《fpga入门教程alteraquartusii和xilinxisecpld入门教程教案vhdlverilog例程讲解(99页珍藏版)》请在金锄头文库上搜索。

1、广州邦讯信息系统有限公司 FPGA培训教程,2011-8,FPGA介绍,何为FPGA?,FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点,FPGA工作原理,FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Outpu

2、t Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终

3、决定了FPGA所能实现的功能, FPGA允许无限次的编程,FPGA的用途,可以毫不夸张的讲,FPGA能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路,都可以用FPGA来实现。 FPGA如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用FPGA/CPLD的在线修改能力,随时修改设计而不必改动硬件电路。 使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。 FPGA还可以做数字IC设计的前端验证,用这种方式可以很大程度上降低IC设计

4、的成本。 FPGA的这些优点使得FPGA技术在90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言(HDL)的进步。,FPGA主要生产厂商,1、 Xilinx 2、 Altera 3、Actel 4、Lattice 5、atmel,xilinx和altera的区别,从好用来说,肯定是Xilinx的好用,不过Altera的便宜他们的特点,Xilinx的短线资源非常丰富,这样在实现的时候,布线的成功率很高,尤其是逻辑做得比较满的时候 Altera的FPGA的短线资源经常不够用,经常要占用LE来充当布线资源(这也是为什么Altera的FPGA可以便宜的原因,资源少些当然便宜),但是如

5、果你是高手,也能把他的性能发挥得很好。 另外就是关于块RAM,Xilinx的双口RAM是真的,altera的没有双口RAM,如果你要实现真正的双口RAM,只能用两块RAM来背靠背地实现,这样你的RAM资源就少了一半,如果你的应用对片内双口RAM的需求很重要,用Altera的就划不来。,7,复杂数字系统与信号处理的关系,- 接口逻辑 + 专门设计的高速计算逻辑,数字信号处理、计算、程序 算法和硬线逻辑的基本概念,数字信号处理 计算(Computing) 算法和数据结构 编程语言和程序 体系结构 硬线逻辑,数字信号处理的基本概念,现代电子系统设备中广泛使用了数字信号处理 专用集成电路。 用于数字信

6、号传输中所必需的滤波、变换、加密、解密、编码、解码、纠检错、压缩、解压缩等操作。 处理工作从本质上说都是数学运算。 完全可以用计算机或微处理器来完成处理工作。,计算(Computing)的基本概念,“Computing 这门学问研究怎样系统地有步骤地描述和转换信息,实质上它是一门覆盖了多个知识和技术范畴的学问,其中包括了计算的理论、分析、设计、效率和应用。它提出的最基本的问题是什么样的工作能自动完成,什么样的不能。”(摘自Denning et al., “Computing as a Discipline,” Communication of ACM, January,1989)。,算法和数据

7、结构的基本概念,算法就是解决特定问题的有序步骤。 数据结构就是解决特定问题的相应的模型。,编程语言和程序的基本概念,编程语言: 程序员利用一种由专家设计的既可以被人理解,也可以被计算机解释的语言来表示算法问题的求解过程。这种语言就是编程语言。 程序:由编程语言所表达的算法问题的求解过程就是。 常用的编程语言:C、Pascal、Fortran、Basic或汇编语言。,计算机体系结构和硬线逻辑 的基本概念,计算机体系结构:是一门 讨论和研究通用的计算机中央处理器如何提高运算速度性能的学问。 硬线逻辑: 由与门、或门、非门、触发器、多路器等基本逻辑部件造成的逻辑系统。,数字信号处理系统的分类,非实时

8、系统: 信号处理的工作是可以事后进行。 实时系统: 信号处理的工作必须在规定的很短的时间内完成。,数字信号处理系统的实现,非实时系统: 通用的计算机和利用通用计算机改装的设备,主要工作量是编写 “C” 程序。输入/输出数据大多为文本 。 实时系统: 信号处理专用的微处理器为核心的设备,主要工作量是编写汇编程序。输入/输出数据大多为数据流,直接用于控制 。,实时数字信号 处理系统实现中存在的技术难点,算法问题。 电路实现问题: 如果由最快的信号处理专用的微处理器为核心的设备也来不及处理如此大量的数据怎么办呢?,实时数字信号处理系统实现中存在的技术难点和解决办法,算法问题:研究并行快速算法。 电路

9、实现问题:设计并研制具有并行结构的数字和计算逻辑结构和相应的接口逻辑。,实时数字信号处理系统实现中存在的技术难点和解决办法,电路实现的两个方向: FPGA 专用集成电路,实时数字信号处理系统实现中存在的技术难点和解决办法,用于信号处理的 FPGA 和专用集成 电路(ASIC)设计的方法: Verilog HDL 建模、仿真、综合和 全面验证。,为什么要研究复杂的数字 逻辑系统?,什么是复杂的数字逻辑系统?,嵌入式微处理机系统 数字信号处理系统 高速并行计算逻辑 高速通信协议电路 高速编码/解码、加密/解密电路 复杂的多功能智能接口 门逻辑总数超过几万门达到几百甚至达几千 万门的数字系统,为什么

10、要设计复杂的 数字逻辑系统?,对嵌入式系统的性能要求越来越高 - 通用的微处理机不能满足要求 - 硬件结构是提高系统总体性能的关键 - 软件只能提高系统的灵活性能 - 军用系统的实时、高可靠、低功耗要求 - 系统的功能专一,但对其各种性能要求极高 - 降低系统的设计和制造成本,设计数字系统的基本方法,传统的:线路图 现代的:硬件描述语言,怎样设计如此复杂的系统?,传统的设计方法: - 查用器件手册; - 选用合适的微处理器和电路芯片; - 设计面包板和线路板; - 调试; - 定型; - 设计复杂的系统(几十万门以上)极其困难。,怎样设计如此复杂的系统?,现代的设计方法: - 选用合适的 ED

11、A仿真工具; - 选用合适电路图输入和HDL编辑工具; - 逐个编写可综合HDL模块; - 逐个编写HDL测试模块; - 逐个做Verilog HDL 电路逻辑访真; - 编写Verilog HDL总测试模块; - 做系统电路逻辑总仿真;,怎样设计如此复杂的系统?,现代的设计方法(续前): - 选用合适的基本逻辑元件库和宏库 - 租用或购买必要的IP核; - 选用合适的综合器; - 进行综合得到门级电路结构; - 布局布线,得到时延文件; - 后仿真; - 定型, FPGA编码或ASIC投片,Top-Down 设计思想,用EDA设计数字系统的流程,为什么要用硬件描述语言来设计?,电路的逻辑功能

12、容易理解; 便于计算机对逻辑进行分析处理; 把逻辑设计与具体电路的实现分成两个独立 的阶段来操作; 逻辑设计与实现的工艺无关; 逻辑设计的资源积累可以重复利用; 可以由多人共同更好更快地设计非常复杂 的逻辑电路(几十万门以上的逻辑系统)。,设计复杂数字系统的工具和手段,两种硬件描述语言 : Verilog VHDL,有哪几种硬件描述语言? 各有什么特点?,Verilog HDL - 较多的第三方工具的支持 - 语法结构比VHDL简单 - 学习起来比VHDL容易 - 仿真工具比较好使 - 测试激励模块容易编写,Verilog HDL 的发展历史,有哪几种硬件描述语言? 各有什么特点?,VHDL

13、- 比VerilogHDL早几年成为I EEE标准; - 语法/结构比较严格,因而编写出的 模块风格比较清晰; - 比较适合由较多的设计人员合作完成 的特大型项目(一百万门以上)。,两者建模能力的比较,Verilog HDL有什么用处?,在各种抽象层次上描述数字电路 测试各种层次数字电路的行为 设计出正确有效的复杂电路结构,Verilog HDL 的应用方面,ASIC 和FPGA设计师可用它来编写可综合的代码。 描述系统的结构,做高层次的仿真。 验证工程师编写各种层次的测试模块对具体电路设计工程师所设计的模块进行全面细致的验证。 库模型的设计:可以用于描述ASIC 和FPGA的基本单元(Cel

14、l)部件,也可以描述复杂的宏单元(Macro Cell)。,Verilog HDL 的抽象级别,语言本身提供了各种层次抽象的表述,可以用详细程度有很大差别的的多层次模块组合来描述一个电路系统。 行为级:技术指标和算法的Verilog描述 RTL级:逻辑功能的Verilog描述 门级 :逻辑结构的Verilog描述 开关级:具体的晶体管物理器件的描述,Verilog HDL 的抽象级别,行为级:有关行为和技术指标模块,容易理解; RTL级 :有关逻辑执行步骤的模块,较难理解; 门级 :有关逻辑部件互相连接的模块。很难理解; 开关级:有关物理形状和布局参数的模块,非常难理解。 注解:理解是指对模块

15、整体功能的理解,抽象级别和综合与仿真的关系,行为仿真:行为的验证和验证模块分割的合理性; 前仿真 :即 RTL级仿真,检查有关模块逻辑执行步骤是否正确。 逻辑综合:把RTL级模块或符合综合风格的行为模块转换成门级 。 后仿真:用门级模型做验证,检查由门的互连构成的逻辑其功能是否正确。 布局布线: 在门级模型的基础上加上了布线延时 布局布线后仿真:与真实的电路最接近的验证。,简单的Verilog模块的组成,行为级与门级的不同点 ? 综合与模块的关系是什么?,行为级和RTL级,module muxtwo (out, a, b, sl); input a,b,sl; output out; Reg

16、out; always (sl or a or b) if (!sl) out = a; else out = b; endmodule,a,b,sl,out,行为级和RTL级,这个行为的描述并没有说明如果输入 a 或 b是三态的(高阻时)输出应该是什么,但有具体结构的真实电路是有一定的输出的。 RTL模块的数据流动必须基于时钟。RTL模块在每个时钟到来的时刻,其变量的值必定是精确的。 RTL模块是可综合的,它是行为模块的一个子集合。,门结构级,module muxtwo (out, a, b, sl); input a,b,sl; output out; not u1(ns1,sl); and #1 u2(sela,a,nsl); and #1 u3 (selb,b,sl); or #2 u4(out ,sela,selb); endmodule,out,综合器的作用,自动地把符合一定风格的 Verilog 行为模块转变为用门级结构描述的模块。 门级结构描述的模块也是得到Verilog仿真器支持的,模块行为正确与否可以通过仿真验证。,数字

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号