数据采集_ad转换

上传人:今*** 文档编号:105740327 上传时间:2019-10-13 格式:DOCX 页数:9 大小:110.72KB
返回 下载 相关 举报
数据采集_ad转换_第1页
第1页 / 共9页
数据采集_ad转换_第2页
第2页 / 共9页
数据采集_ad转换_第3页
第3页 / 共9页
数据采集_ad转换_第4页
第4页 / 共9页
数据采集_ad转换_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《数据采集_ad转换》由会员分享,可在线阅读,更多相关《数据采集_ad转换(9页珍藏版)》请在金锄头文库上搜索。

1、工程实训实验报告书 姓名: 学号:班级: 专业:电气工程与自动化题目:数据采集_A/D转换自动化学院2015/10/26实验一、数据采集_A/D转换一、实验目的掌握A/D转换与单片机接口的方法;了解A/D芯片0809转换性能及编程方法;通过实验了解单片机如何进行数据采集。二、实验设备装有proteus的电脑一台三、实验说明及实验原理:A/D转换器大致分有三类:一是双积分A/D转换器,优点是精度高,抗干扰性好,价格便宜,但速度慢;二是逐次逼近式A/D转换器,精度、速度、价格适中;三是并联比较型A/D转换器,速度快,价格也昂贵。实验用ADC0809属第二类,是8位A/D转换器。每采集一次一般需10

2、0s。由于ADC0809 A/D转换器转换结束后会自动产生EOC信号(高电平有效),取反后将其与8031的INT0相连,可以用中断方式读取A/D转换结果。ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。(1) ADC0809的内部逻辑结构由图1.1可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输

3、出锁存器取走转换完的数据。图1.1 ADC0809 内部结构框图(2) ADC0809引脚结构ADC0809各脚功能如下: D7 D0:8位数字量输出引脚。IN0 IN7:8位模拟量输入引脚。VCC:+5V工作电压。GND:地。REF(+):参考电压正端。REF(-):参考电压负端。START:A/D转换启动信号输入端。ALE:地址锁存允许信号输入端。(以上两种信号用于启动A/D转换). EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。OE:输出允许控制端,用以打开三态数据输出锁存器。CLK:时钟信号输入端(一般为500KHz)。A、B、C:地址输入线。(3) ADC0

4、809对输入模拟量要求:信号单极性,电压范围是05V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。地址输入和控制线:4条ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0IN7上的一路模拟量输入。通道选择表如下表所示。CBA选择模拟通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7数字量输出及控制线:11条ST为转换启动信号

5、。当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,ST应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE1,输出转换得到的数据;OE0,输出数据线呈高阻状态。D7D0为数字量输出线。CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,VREF(),VREF()为参考电压输入。(4) ADC0809应用说明(1) ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连

6、。(2) 初始化时,使ST和OE信号全为低电平。(3) 送要转换的哪一通道的地址到A,B,C端口上。(4) 在ST端给出一个至少有100ns宽的正脉冲信号。(5) 是否转换完毕,我们根据EOC信号来判断。(6) 当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了四、实验任务1.硬件电路设计: 设计基于单片机控制的AD转换应用电路。AD转换芯片采用ADC0809。ADC0809的通道IN3输入05V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接5V电压。2. 软件设计: 程序设计内容(1) 进行A/D转换时,采用查询EOC

7、的标志信号来检测A/D转换是否完毕,经过数据处理之后在数码管上显示。(2) 进行A/D转换之前,要启动转换的方法:ABC110选择第三通道。ST0,ST1,ST0产生启动转换的正脉冲信号图1.2 ADC0809时序图5、 实验内容(1) 在proteus ISIS界面设计数据采集AD转换电路原理图,把该电路保存。(2) 在keil中建立c程序文件并生成hex文件。#include#include#include#include#define uchar unsigned char#define uint unsigned int#define Time1 10uchar code x1=0x3

8、f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71;uchar code x2=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e;uchar code x3=0xfe,0xfd,0xfb,0xf7,0xef,0xdf;uchar code x4=0x01,0x02,0x04,0x08,0x10,0x20;sfr ldata0=0x80;sfr ldata2=0xa0;sfr ldata

9、3=0xb0;sbit oe=P10;sbit eoc=P11;sbit st=P12;sbit clk=P13;sbit c3=P14;sbit c2=P15;sbit c1=P16;sbit a15=P27;void delay(uint);void msxscx1(uchar,uchar);uchar adcx();void sjcx(uchar);void main()uchar a1;bitbit0; /uchar bai,shi,ge;TMOD=0x02; TH0=-Time1; TL0=-Time1; EA=1; /开总开关ET0=1; /开定时器0中断TR0=1; /启动定时器

10、0c1=0; /C=0c2=1; /B=1c3=1; /A=1/ABC=110选择第三通道 st=1;delay(10);st=0;delay(10); while(1) if(eoc=1) a1=adcx(); if(bit0)bai=a1/100; /取个,十,百位shi=a1 % 100/10;ge=a1%100%10; else bai=0x0;shi=a1/16;ge=a1%16; if(0=a15) delay(3);if(0=a15)bit0=bit0;while(a15); msxscx1(0,0);msxscx1(bai,1);msxscx1(shi,2);msxscx1(g

11、e,3); void delay(uint xms) /延迟函数uint i,j;for(i=0;ixms;i+)for(j=0;j100;j+);void msxscx1(uchar duan1,uchar wei1)/数码管显示函数 ldata0 = x1duan1; ldata2=x3wei1; delay(2); ldata0 = 0x00; ldata2=0xff; uchar adcx() uchar a1; oe=1; _nop_(); _nop_(); _nop_(); a1=ldata3; oe=0; st=1; delay(10); st=0; return a1;void INT0_time0() interrupt 1 using 2clk=clk; /取反(3)添加仿真文件。双击单片机AT89C51,打开其属性编辑框,在“program file”栏中,单击打开按钮,选取后缀名为*.HEX的目标代码文件。(4)在proteus仿真界面中单击运行按钮,全速启动仿真。6、 实验结果7、 实验心得通过本次试验我了解到三类A/D转换器(双积分A/D转换器;逐次逼近式A/D转换器;并联比较型A/D转换器)和它们之间的优缺点。本实验采用了第二种A/D转换器。通过程序设计,对ADC0809芯片有更好的了解,学会了A/D转换的一种方法。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号