数字日历设计

上传人:今*** 文档编号:105736815 上传时间:2019-10-13 格式:DOC 页数:19 大小:1.16MB
返回 下载 相关 举报
数字日历设计_第1页
第1页 / 共19页
数字日历设计_第2页
第2页 / 共19页
数字日历设计_第3页
第3页 / 共19页
数字日历设计_第4页
第4页 / 共19页
数字日历设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《数字日历设计》由会员分享,可在线阅读,更多相关《数字日历设计(19页珍藏版)》请在金锄头文库上搜索。

1、 XXXXX学院EDA技术与应用实训报告数字日历设计学 号 XX 姓 名 XX 指导教师: xx 题目:数字日历电路的设计1 概述通过EDA项目设计,使用Quartus软件进行数字日历电路的设计,能够实现最基本的日期,时间显示功能,并在此基础上进行相应的功能创新,使设计项目拥有更丰富的功能。1.1设计要求1.1.1设计任务用EDA的方法设计一个数字日历1.1.2性能指标 用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 数字日历能够显示年、月、日、时、分和秒。 用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),

2、然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。1.2总体设计基本原理及框图1.2.1基本原理首先设计要实现年月日和时分秒的显示,再设计定时和整点报时模块,以及校准模块。此外,还要使其具备星期显示功能,则要设计星期模块。最后,就是将这些底层模块连接起来实现整体功能。那么,就需要控制模块,校准模块,显示控制模块等等。1.2.2总体框图2 系统软件设计分析2.1时分秒计时器模块:分秒模块程序:module cnt

3、60(clk,clrn,j,q,cout);input clk,clrn,j;output reg7:0 q;output reg cout;always (posedge clkj or negedge clrn)begin if (clrn) q=0;else beginif (q=h59)q=0;else q=q+1;if (q3:0=ha)beginq3:0=0;q7:4=q7:4+1;endif (q=h59)cout=1;else cout=0;endendendmodule小时模块程序:module cnt24(clk,clrn,j,q,cout);input clk,clrn,

4、j;output reg 7:0 q;output reg cout;always(posedge clkj or negedge clrn)beginif(clrn)q=0;else beginif(q=h23) q=0;else q=q+1;if(q3:0=ha) beginq3:0=0;q7:4=q7:4+1;endif(q=h23) cout=1;else cout=0;endendendmodule2.2年月日模块module nyr2016(clrn,clk,jn,jy,jr,qn,qy,qr); /年月日模块 input clrn,clk,jn,jy,jr; output 15:

5、0 qn; output 7:0qy,qr; reg 15:0 qn; reg 7:0qy,qr; reg clkn,clky; reg 7:0date; reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn=h2000;qy=1;qr=1;end always (posedge (clkjr) or negedge clrn) / 日计时模块begin if (clrn) qr=1;else begin if (qr=date) qr=1; else qr=qr+1; if (qr3:0

6、=ha) begin qr3:0=0; qr7:4=qr7:4+1;endif (qr=date)clky = 1;else clky = 0;end endalways (posedge clkyjy or negedge clrn) /月计时模块begin if (clrn) qy=1;else begin if (qy=h12) qy=1; else qy=qy+1; if (qy3:0=ha) begin qy3:0=0;qy7:4=qy7:4+1;endif (qy=h12)clkn = 1;else clkn = 0;end endalways begincase (qy)h01:

7、 date=h31;h02:begin if (qn%4=0)&(qn%100 != 0)|(qn%400=0) date=h29;else date=h28; endh03: date=h31;h04: date=h30;h05: date=h31; h06: date=h30;h07: date=h31;h08: date=h31;h09: date=h30;h10: date=h31;h11: date=h30;h12: date=h31;default :date=h30;endcase endalways (posedge (clknjn) or negedge clrn ) /年计

8、时模块begin if (clrn) qn3:0=0; else begin if(qn3:0=9) qn3:0=0; else qn3:0=qn3:0+1; if (qn3:0=9) clkn1=0; else clkn1=1;end endalways (posedge clkn1 or negedge clrn )begin if (clrn) qn7:4=0; else begin if(qn7:4=9) qn7:4=0; else qn7:4=qn7:4+1; if (qn7:4=9) clkn2=0; else clkn2=1;end endalways (posedge clkn

9、2 or negedge clrn )begin if (clrn) qn11:8=0; else begin if(qn11:8=9) qn11:8=0; else qn11:8=qn11:8+1; if (qn11:8=9) clkn3=0; else clkn3=1;end endalways (posedge clkn3 or negedge clrn )begin if (clrn) qn15:12=2; else if(qn15:12=9) qn15:12=0; else qn15:12=qn15:12+1; endendmodule2.3控制模块module contr(clk,

10、k1,k2,k);input clk,k1,k2;output reg k;reg 3:0 qc;reg rc;always (posedge clk) begin qc=qc+1; if (qc8) rc=0; else rc=1; case (k1,k2) 0:k=rc; 1:k=0; 2:k=1; 3:k=rc; endcase endendmodule2.4校准模块module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3);input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;always begin if (k=0) j

11、m,jf,js=j1,j2,j3; else jr,jy,jn=j1,j2,j3; endendmodule2.5星期模块module xinqishumaguan(clk,qn,qy,qr,z);input clk;input 15:0 qn;input 7:0 qy,qr;output reg 3:0 z;reg 3:0 y;always begin if (qn%4=0)&(qn%100 != 0)|(qn%400=0) begin case (qy) h01:y=0; /该月对应数 h02:y=3; h03:y=4; h04:y=0; h05:y=2; h06:y=5; h07:y=0

12、; h08:y=3; h09:y=6; h10:y=1; h11:y=4; h12:y=6; endcase;end else begin case (qy) h01:y=0; h02:y=3; h03:y=3; h04:y=6; h05:y=1; h06:y=4; h07:y=6; h08:y=2; h09:y=5; h10:y=0; h11:y=3; h12:y=5; endcase;end end always if (qn%4=0)&(qn%100 != 0)|(qn%400=0) z=(qn-1+(qn/4)+(qn/400)-(qn/100)%7-1+qr+y)%7;/闰年计算公式 else z=(qn+(qn/4)+(qn/400)-(qn/100)%7-1+qr+y)%7;/平年计算公式endmodule2.6报时模块module baoshi(qs,qf,fLED,qm,off);input off;input7:0 qm, qs,qf;output fLED;reg fLED;always beginif (qs=h07&qf=h01) | (qm=0&qf=0) /7:01和整点时彩灯亮起,蜂鸣

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号