ise时序约束笔记-中文翻译版

上传人:F****n 文档编号:102723739 上传时间:2019-10-04 格式:DOC 页数:19 大小:421KB
返回 下载 相关 举报
ise时序约束笔记-中文翻译版_第1页
第1页 / 共19页
ise时序约束笔记-中文翻译版_第2页
第2页 / 共19页
ise时序约束笔记-中文翻译版_第3页
第3页 / 共19页
ise时序约束笔记-中文翻译版_第4页
第4页 / 共19页
ise时序约束笔记-中文翻译版_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《ise时序约束笔记-中文翻译版》由会员分享,可在线阅读,更多相关《ise时序约束笔记-中文翻译版(19页珍藏版)》请在金锄头文库上搜索。

1、ISE时序约束笔记1Global Timing Constraints时序约束和你的工程执行工具不会试图寻找达到最快速的布局&布线路径。取而代之的是,执行工具会努力达到你所期望的性能要求。性能要求和时序约束相关时许约束通过将逻辑元件放置的更近一些以缩短布线资源从而改善设计性能。没有时序约束的例子该工程没有时序约束和管脚分配注意它的管脚和放置该设计的系统时钟频率能够跑到50M时序约束的例子和上面是相同的一个设计,但是加入了3个全局时序约束。它最高能跑到60M的系统时钟频率注意它大部分的逻辑的布局更靠近器件边沿其相应管脚的位置更多关于时序约束时序约束应该用于界定设计的性能目标1. 太紧的约束将会延

2、长编译时间2. 不现实的约束可能导致执行工具罢工3. 查看综合报告或者映射后静态时序报告以决定你的约束是否现实执行后,查看布局布线后静态时序报告以决定是否你的性能要求达到了如果约束要求没有达到,查看时序报告寻找原因。路径终点有两种类型的路径终点:1. I/O pads2. 同步单元(触发器,锁存器,RAMs)时序约束的两个步骤:1. 路径终点生产groups(顾名思义就是进行分组)2. 指点不同groups之间的时序要求全局约束使用默认的路径终点groups即所有的触发器、I/O pads等ISE时序约束笔记2Global Timing Constraints问题思考单一的全局约束可以覆盖多延

3、时路径如果箭头是待约束路径,那么什么是路径终点呢?所有的寄存器是否有一些共同点呢?问题解答什么是路径终点呢?FLOP1,FLOP2,FLOP3,FLOP4,FLOP5。所有的寄存器是否有一些共同点呢?它们共享一个时钟信号,约束这个网络的时序可以同时覆盖约束这些相关寄存器间的延时路径。周期约束周期约束覆盖由参考网络钟控的的同步单元之间的路径延时。周期约束不覆盖的路径有:input pads到output pads之间的路径(纯组合逻辑路径),input pads到同步单元之间的路径,同步单元到output pads之间的路径。周期约束特性周期约束使用最准确的时序信息,使其能够自动的计算:1. 源

4、寄存器和目的寄存器之间的时钟偏斜(Clock Skew)2. 负沿钟控的同步单元3. 不等同占空比的时钟4. 时钟的输入抖动(jitter)假设:1. CLK信号占空比为50%2. 周期约束为10ns3. 由于FF2将在CLK的下降沿触发,两个触发器之间的路径实际上将被约束为10ns的50%即5ns时钟输入抖动(Clock Input Jitter)时钟输入抖动是源时钟的不确定性(clock uncertainty)之一时钟的不确定时间必须从以下路径扣除:周期约束建立时间路径OFFSET IN约束的建立时间路径时钟的不确定时间必须添加到以下路径中:周期约束保持时间路径OFFSET IN约束保持

5、时间路径OFFSET OUT约束路径Pad-to-Pad约束不包含任何同步单元的纯组合逻辑电路纯组合逻辑延时路径开始并结束于I/O pads,所以通常会被我们遗漏而未约束ISE时序约束笔记3Global Timing Constraints问题思考哪些路径是由CLK1进行周期约束?哪些路径是由pad-to-pad进行约束?OFFSET约束OFFSET约束覆盖以下路径:从input pads到同步单元(OFFSET IN)从同步单元到output pads(OFFSET OUT)OFFSET约束特性OFFSET约束自动计算时钟分布延时1. 提供最准确的时序信息2. 大量增加输入信号到达同步单元的

6、时间(时钟和数据路径并行)3. 大量减少输出信号到达输出管脚的时间(时钟和数据路径先后)OFFSET约束也可以解释时钟输入抖动使用抖动确定关联的周期约束时钟延时数据路径延时和时钟分布延时都需要在OFFSET计算中使用到OFFSET IN = T_data_in T_clk_inOFFSET OUT = T_data_out + T_clk_outISE时序约束笔记4Global Timing Constraints问题思考在这个电路中哪些路径是由OFFSET IN 和 OFFSET OUT来约束的?问题解答:OFFSET IN:PADA to FLOP and PADB to RAMOFFSE

7、T OUT:LATCH to OUT1, LATCH to OUT2, and RAM to OUT1问题思考下面给出的系统框图里,你将给出什么样的约束值以使系统能够跑到100MHz?假设在下面的器件之间没有时钟偏斜问题解答:PERIOD = 10 ns , OFFSET IN (BEFORE) = 7 ns and OFFSET OUT (AFTER) = 8 ns小结1. 性能期望和时序约束相关联2. 周期约束覆盖同步单元之间的延时路径3. OFFSET约束覆盖从输入管脚到同步单元和从同步单元到输出管脚之间的延时路径ISE时序约束笔记5Timing Groups and OFFSET Co

8、nstraints特定路径时序约束使用全局时序约束(PERIOD,OFFSET,PAD-TO-PDA)将约束整个设计仅仅使用全局约束通常会导致过约束约束过紧编译时间延长并且可能阻止实现时序目标通过综合工具或者映射后时序报告重新审视性能评估特定路径约束能够覆盖全局时序约束在特定路径上的约束这就允许设计者放宽特定路径的时序要求更多关于特定路径约束你的设计器件的内部面积将会从特定路径约束收益1. 多周期路径Multi-cycle paths2. 跨时钟域路径3. 双向总线4. I/O时序特定路径约束应该由你的性能目标来界定,不能够不加限制的随意放置全局约束回顾使用全局PERIOD,OFFSET IN

9、和OFFSET OUT约束将约束所有以下的路径这使得控制设计的总体性能更加容易特定路径约束实例一条特定路径约束对于路径本身的优化微乎其微这有助于你更好的控制设计性能,并带给执行工具更大的灵活性以达到你的性能和使用要求生成特定路径约束需要两个步骤:1. 多个有共同时序要求的特定路径终点生成一个groups2. 关联两个groups,指定它们的特定路径的时序要求生成终点路径的Groups特定路径时序约束在终点路径较好的分组后会更加高效否则,约束一个大的工程将极其耗时耗力。约束编辑有助于你更容易的进行路径终点(pads, flip-flops, latches, and RAMs)进行Groups分

10、组。使用约束编辑器,终点路径的分组有以下选项: Group by nets Group by instance name Group by hierarchy Group by output net name Timing THRU Points option Group by clock edgeNets 分组与output net name分组对比由net分组的 “NET_A”将生成一个只包含FLOP2的groupGroup包含选择网络所驱动的寄存器由output net name分组的“NET_A”将生成一个只包含FLOP1的groupGroup包含选择网络的源寄存器ISE时序约束笔记6

11、Timing Groups and OFFSET Constraints回顾全局OFFSET约束在时钟行中使用Pad-to-Setup和Clock-to-Pad列为所有出于该时钟域的I/O路径指定OFFSETs。为大多数I/O路径进行约束的最简单方法然而,这将会导致一个过约束的设计。指定管脚的OFFSET约束使用Pad-to-Setup和Clock-to-Pad列为每个I/O路径指定OFFSETs。这种约束方法适用于只有少数管脚需要不同的时序约束。更常用的方法是:1. 为Pads生成Groups2. 对生成的指定Groups进行OFFSET IN/OUT约束双沿时钟的OFFSET约束OFFSE

12、T约束指明了FPGA管脚的输入数据和初始时钟之间的关系。初始时钟沿在周期约束定义中出现关键词“高”和“低”。高:初始时钟上升沿(默认),即上升沿锁存数据低:初始时钟下降沿如果所有的I/O都由时钟的一个沿控制,那么你可以使用这个关键字高或低进行周期约束。如果两个沿都用到,你就必须进行两个OFFSET的约束。每个OFFSET对应一个时钟沿DDR寄存器也是这样使用的一个例子双沿时钟的OFFSET IN约束输入数据在上升沿或者下降沿之前3ns有效周期约束为10ns,初始上升沿,占空比为50%。为每个时钟沿生成一个时钟Groups输入时钟的上升沿,OFFSET = IN 3ns BEFORE CLK;输

13、入时钟的下降沿,OFFSET = IN -2ns BEFORE CLK;(在初始时钟的上升沿后2ns = 时钟下降沿前3ns)双沿时钟的OFFSET OUT约束输出数据必须在时钟的上升沿或者下降沿后3ns内有效周期约束为10ns,初始上升沿,占空比为50%。为每个时钟沿生成一个时钟Groups输入时钟的上升沿,OFFSET = OUT 3ns AFTER CLK;输入时钟的下降沿,OFFSET = OUT 8ns AFTER CLK;(在初始时钟的上升沿后8ns = 时钟下降沿后3ns)问题思考特定路径时序约束如何改善了设计性能?你如何约束这个设计使其内部时钟频率达到100 MHz?输入(数据)将在时钟CLK的上升沿到达前3ns内有效。输出数据必须在时钟CLK的下降沿后4ns内有效。写出合适的OFFSET约束?问题解答特定路径时序约束如何改善了设计性能?它使得执行工具更加灵活的达到你的时序要求。你如何约束这个设计使其内部时钟频率达到100 MHz?给时钟信号CLK施加一个10ns的全局周期约束。写出合适的OFFSET约束?OFFSET = IN 3 ns BEFORE CLK; OFFSET = OUT 9 ns AFTER CLK;ISE时序约束笔记7Path-Specific Timing Constraints时钟上升沿和下降

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号