【2017年整理】关于quartus ii时序约束

上传人:豆浆 文档编号:1023448 上传时间:2017-05-26 格式:DOC 页数:7 大小:3.21MB
返回 下载 相关 举报
【2017年整理】关于quartus ii时序约束_第1页
第1页 / 共7页
【2017年整理】关于quartus ii时序约束_第2页
第2页 / 共7页
【2017年整理】关于quartus ii时序约束_第3页
第3页 / 共7页
【2017年整理】关于quartus ii时序约束_第4页
第4页 / 共7页
【2017年整理】关于quartus ii时序约束_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《【2017年整理】关于quartus ii时序约束》由会员分享,可在线阅读,更多相关《【2017年整理】关于quartus ii时序约束(7页珍藏版)》请在金锄头文库上搜索。

1、关于 quartus ii 时序约束(1) 2012-06-17 09:46:07| 分类: 默认分类 |举报|字号 订阅一直以来都只是简单地理解了一下关于时序约束的内容,而工具也有默认classic 的约束,加上目前的设计对时序没有很高的要求,所以就一直都没真正地自己做过一次约束,但是我知道,这部分是不可以跳过的,这部分也算是搞FPGA 必须掌握的内容。今天下午对这部分进行了初次探究,收获有如下:常用的约束有三种:1.时序约束2.区域约束3.位置约束时序约束的作用有:1.提高设计的工作频率2.获得正确的时序分析报告需要复习前面博文FPGA 学习之时序分析基础(7) 记住,堵塞原则是 HDL

2、语言的精髓,也就是说触发器是有延时作用的,虽然两个触发器使用的是同一个时钟,但是当第二个触发器接收第一帧数据的时候第一个触发器在发第二帧数据,而当第二个触发器接收第二帧数据的时候第一个触发器在发第三帧数据,依此类推,也就是说每一帧数据在两个触发器之间都有一个 clk 的时间前进,如果前进的时间太长,也即是系统给的时钟太快,就会出现无法满足第二个触发器 setup 的时间。setup time 就是第二个触发器在接收到由第一个触发器上一个时钟发送的数据之前应空闲的时间。公式:CLK+TCLK2-Tsu Tclk1 + Tcd + Tdata 所以系统 CLK 是和 Tsu 息息相关的,所以看时序

3、报告的时候也是从 Clock Setup clk看最差路径等信息。在 FPGA 设计工具 中包含有 4 种路径:从输入端口到寄存器,从寄存器到寄存器,从寄存器到输出,从输入到输出的纯组合逻辑。通常,需要对这几种路径分别进行约束,以便使设计工具能够得到最优化的结果。下面对这几种路径分别进行讨论。1. 从输入端口到寄存器: 这种路径的约束是为了让 FPGA 设计工具能够尽可能的优化从输入端口到第一级寄存器之间的路径延迟,使其能够保证系统时钟可靠的采到从外部芯片到 FPGA 的信号。 约束名称:input delay。 约束条件的影响主要有 4 个因素:外部芯片的 Tco,电路板上信号延迟 Tpd,

4、FPGA 的 Tsu, 时钟延迟 Tclk. Tco 的参数通常需要查外部芯片的数据手册。计算公式: input delay = Tco+Tpd+Tsu-Tclk。FPGA 的 Tsu 也需要查 FPGA 芯片的手册。 FPGA 速度等级不同,这个参数也不同。Tpd 和 Tclk 需要根据电路板实际的参数来计算。通常,每 10cm 的线长可以按照1ns 来计算。例如:系统时钟 100MHz,电路板上最大延迟 2ns,时钟最大延迟 1.7ns,Tco 3ns,FPGA 的 Tsu 为 0.2ns。那么输入延迟的值:max Input delay = 2+3+0.2-1.7=3.5ns. 这个参数

5、的含义是指让 FPGA 的设计工具把 FPGA 的输入端口到第一级寄存器 之间的路径延迟(包括门延迟和线延迟)控制在 10ns-3.5ns=6.5ns 以内,其中 10ns 是系统时钟。 2. 寄存器到寄存器: 这种路径的约束是为了让 FPGA 设计工具能够优化 FPGA 内寄存器到寄存器之间的路径, 使其延迟时间必须小于时钟周期,这样才能确保信号被可靠的传递。 由于这种路径只存在于 FPGA内部,通常通过设定时钟频率的方式就可以对其进行约束。对于更深入的优化方法,还可以采用对寄存器的输入和寄存器的输出加入适当的约束,来使逻辑综合器和布线器能够对某条路径进行特别的优化。还可以通过设定最大扇出数

6、来迫使工具对其进行逻辑复制,减少扇出数量,提高性能。 3. 寄存器到输出: 这种路径的约束是为了让 FPGA 设计工具能够优化 FPGA 内部从 最后一级寄存器到输出端口的路径,确保其输出的信号能够被下一级芯片正确的采到。 约束的名称: output delay。 约束条件的影响主要有 3 个因素:外部芯片的 Tsu,电路板上信号延迟 Tpd,时钟延迟Tclk。Tsu 的参数通常需要查外部芯片的数据手册。计算公式:output delay = Tsu+Tpd-Tclk。例如:系统时钟 100MHz,电路板上最大延迟 2ns,时钟最大延迟 1.7ns,Tsu 1ns,输出延迟的值:max out

7、put delay = 1+2-1.7=1.3ns。这个参数的含义是指让 FPGA 的设计工具把最后一级寄存器到输出端口之间的路径延迟(包括门延迟和线延迟)控制在 10ns-1.3ns=8.7ns 以内。4. 从输入端口到输出端口: 这种路径是指组合逻辑的延迟,指信号从输入到输出没有经过任何寄存器。给这种路径加约束条件,需要虚拟一个时钟,然后通过约束来指定哪些路径是要受该虚拟时钟的约束。在Synplifypro 和 Precision 中都有相应的约束来处理这种路径。 QuartusII 软件中时钟 Fmax 约束步骤 作者:Altera 中国区代理 骏龙科技 武汉办事处 使用 Quartus

8、II 软件对设计中的时钟进行约束的步骤如下:(这里以 QuartusII5.0 为例说明)1如果实际中仅仅用到单个时钟按照图一所示约束即可。 例如,设计主时钟是 19.44M,按照下面图一及图二中所示步骤约束即可,一般来讲,约束尽量留一些余量,如设计主时钟为 19.44M,我们可以设置主时钟为 25M。 图一:Timing Settings 菜单执行完图六所示步骤后跳出图七所示窗口,在图中相应位置输入相应的时钟频率即可, (可以根据设计需要选择时钟的占空比,一般设计 50的占空比)完成后点击 OK。此时即完成了对一个时钟的设置,如图八所示。 我们可以使用以上类似步骤继续把设计中其余时钟进行相应设置。另外,对多个时钟设置后我们可以根据需要修改或者删除。 图八:成功设置一个时候后的界面 说明:1.这里对时钟的约束仅仅针对输入管脚的时钟或者内部的时钟,不能应用于对输出时钟(管脚输出时钟)的约束。 2.这里仅仅说明的仅仅是对设计时钟的 Fmax 的约束步骤,很多时候由于设计复杂或者时钟要求比较高的场合,同时还需要对 Tsu 及 Tco 进行设置。对于 Tsu 及 Tco 的设置请参考另外一片文档。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号