实验四 计数器及其应用

上传人:xins****2008 文档编号:100917382 上传时间:2019-09-25 格式:DOCX 页数:7 大小:180.60KB
返回 下载 相关 举报
实验四 计数器及其应用_第1页
第1页 / 共7页
实验四 计数器及其应用_第2页
第2页 / 共7页
实验四 计数器及其应用_第3页
第3页 / 共7页
实验四 计数器及其应用_第4页
第4页 / 共7页
实验四 计数器及其应用_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《实验四 计数器及其应用》由会员分享,可在线阅读,更多相关《实验四 计数器及其应用(7页珍藏版)》请在金锄头文库上搜索。

1、实验四 计数器及其应用班级: 姓名:学号:一、 实验目的1) 学习计数器的基本结构。 2)熟悉常用中规模计数器的逻辑功能及其应用。 二、实验内容1)用74LS90实现十进制计数。(先用LT试灯)2)实现六进制计数。3)用74LS90设计电路,要求输出信号为时钟频率的十分频,占空比为百分之50.4)用74LS90实现12分频、15分频。74LS90引脚图 74LS00引脚图 74LS90逻辑图 三、实验设计1)用74LS90实现十进制计数。(先用LT试灯)上图为设计好的用74LS90实现十进制计数的电路。该电路采用的是8421BCD计数法。计数脉冲CP由CP0输入,Q0接CP1,则该计数器先进行

2、2进制计数,再进行5进制计数,从而完成8421BCD的十进制计数。各级触发器特征方程如下:Q0n+1=Q0CPQ1n+1= Q3Q1Q0Q2n+1=Q2 Q1Q3n+1=Q1Q2Q3 Q0正常计数时需将置0端、置9端接地。2)实现六进制计数。上图为设计好的用74LS90实现六进制计数的电路。该电路是在十进制电路的基础上利用反馈置0法使它跳越4个无效状态而得到的。设初态为0,则选择05为有效状态,69为无效状态,当CP6到来时,输出Q3Q2Q1Q0=0110,利用0110反馈置0,使计数器返回初态。状态转换表如下所示。Q3Q2Q1Q0000000010010001101000101(0110)所

3、以,令R01=R02=Q2Q1Q0 ,相应的逻辑电路如电路图所示。3)用74LS90设计电路,要求输出信号为时钟频率的十分频,占空比为百分之50.上图为设计好的电路,为了实现百分之50的占空比,该电路采用5421BCD计数法。计数脉冲CP由CP1输入,Q3接CP0。则该计数器先进行5进制计数,再进行2进制计数,从而完成5421BCD得到十进制计数。各级触发器特征方程如下:Q1n+1= Q3Q1CPQ2n+1=Q2Q1Q3n+1=Q1Q2Q3 CPQ0n+1=Q0 Q3由此可得到5421BCD计数的状态转换表,如下所示。Q0Q3Q2Q1Q0n+1Q3n+1Q2n+1Q1n+10000000100

4、0100100010001100110100010010001000100110011010101010111011110011000000正常计数时需将置0端、置9端接地。4)用74LS90实现12分频、15分频。上图为设计好的用74LS90实现12分频的电路。采用反馈置0法。首先将2片CT74LS90均接成8421BCD十进制计数器,然后将低位片,即第一片的Q3作为高位片,即第二片的CP,级联成100进制计数器,最后用(12)10=(0001,0010)8421BCD来反馈置0组成12进制计数器。R01=R02=1QB2QA上图为设计好的用74LS90实现15分频的电路。同理,采用反馈置0

5、法。首先将2片CT74LS90均接成8421BCD十进制计数器,然后将低位片,即第一片的Q3作为高位片,即第二片的CP,级联成100进制计数器,最后用(15)10=(0001,0101)8421BCD来反馈置0组成15进制计数器。R01=R02=1QC1QA2QA四、实验结果1)用74LS90实现十进制计数。(先用LT试灯)实验结果见仿真结果。2)实现六进制计数。实验结果见仿真结果。3)用74LS90设计电路,要求输出信号为时钟频率的十分频,占空比为百分之50.4)用74LS90实现12分频、15分频。实验结果见仿真结果。五、实验讨论1、从该实验中,我知道了要实现几分频就得实现几进制。2、通过该实验我了解了74LS90的内部电路及其功能,还有如何应用到具体实践中。3、在实现占分比为50%的十分频时,一开始怎么也想不到,后来突然看见5421BCD计数法的真值表,得到了启发,用5421BCD计数法即可直接实现占分比为50%的十分频。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号