数电课后题

上传人:F****n 文档编号:100551682 上传时间:2019-09-24 格式:DOC 页数:23 大小:1.52MB
返回 下载 相关 举报
数电课后题_第1页
第1页 / 共23页
数电课后题_第2页
第2页 / 共23页
数电课后题_第3页
第3页 / 共23页
数电课后题_第4页
第4页 / 共23页
数电课后题_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《数电课后题》由会员分享,可在线阅读,更多相关《数电课后题(23页珍藏版)》请在金锄头文库上搜索。

1、1-13、计算下列用补码表示的二进制数的代数和。如果和为负数,请求出负数的绝对值。(1)+; (2)+; (3)+; (4)+; (5)+; (6)+; (7)+; (8)+。解:(1)和为:; (2)和为:;(3)和为负数,和的补码为:,其绝对值为; (4)和为负数,和的补码为:,其绝对值为; (5)和为:; (6)和为:; (7)和为负数,和的补码为:,其绝对值为;(8)和为负数,和的补码为:,其绝对值为。2-1、试用列真值表的方法证明下列异或运算公式。(1)A0=A (2)A1=(3)AA=0 (4)A=1解、(1)A0=A的真值表 (2)A1=的真值表A0A0101000A1A1110

2、011 A0=A A1=(3)AA=0 的真值表 (4)A=1的真值表AAAA110000A0A101011 AA=0 A=12-6、写出图中所示电路的输出逻辑函数式。解、(a) (b)2-7、写出图中所示电路的输出逻辑函数式。解、(a) (b)2-12、将下列逻辑函数式化为与非-与非形式,并画出全部由与非逻辑单元组成的逻辑电路图。(1) (2)解、(1)(2)2-13、将下列逻辑函数式化为或非-或非形式,并画出全部由或非逻辑单元组成的逻辑电路图。(1) (2)解、(1)将式中不包含的最小项相加,得:(2)2-18、用卡诺图化简法将下列函数化为最简与或形式。(6)(7)解、(6)(7)2-20

3、、写出图中个逻辑图的逻辑函数式,并化简为最简与或式。解、(a)(b)3-2、试画出图中各个门电路输出端的电压波形。输入端A、B的电压波形如图中所示。3-3、试说明能否将与非门、或非门、异或门当做反相器使用?如果可以。各输入端应如何连接?解、与非门、或非门、异或门都可当作反相器试用。例4.3.5、试用4选1数据选择器实现例4.2.2的交通信号灯监视电路。解、取红、黄、绿三盏灯的状态为输入变量,分别用R、A、G表示,并规定灯亮时为1,不亮时为0。取故障信号为输出变量,以Z表示之,并规定正常工作状态下Z为0,发生故障时Z为1。可列出如下图所示的逻辑真值表其逻辑表达式为:可将上式化成:只要令数据选择器

4、的输入为:4-2、如图是一个多功能函数发生电路。试写出当为00001111 16种不同状态时输出Y的逻辑函数式。4-3、分析图中电路的逻辑功能,写出、的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。解、由真值表可见,这是一个全加器电路。A、B、C为加数、被加数和来自低位的进位,是和,是进位输出。真值表00000001100101001101100101010111001111114-5、用与非门设计四变量的多数表决电路。当输入变量A、B、C、D有3个或3个以上为1时输出为1,输入为其他状态时输出为0.解、真值表ABCDY 0000000010001000011001000010100110

5、0011111000010010101001011111000110111110111111 4-6、有一水箱由大、小两台水泵和供水,如图所示。水箱中设置了3个水为检测元件A、B、C。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时单独工作;水位低于B点而高于A点时单独工作;水位低于A点时和同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。解、ABC00000001100100110110010111011111 真值表中的为约束项,利用卡诺图化简得到 (的1状态表示工作,0状态表示

6、停止)4-8、试画出用4片8线-3线优先编辑器74HC148组成32线-5线优先编码器的逻辑图。74HC148的逻辑图见图4.3.3。允许加必要的门电路。解、以表示32个低电平有效的编码输入信号,以表示输出编码,可列出与关系的真值表。真值表工作的芯片号(4)100011(3)010010(2)001001(1)000100从真值表得到 电路图如图所示:4-9、某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。现要求当一号病室的按钮按下时,无论其他病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论

7、三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有一、二、三、号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74HC148和门电路事迹满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低、电平信号。解、以、分别表示按下一、二、三、四号病室按钮给出的低电平信号,以表示一、二、三、四号灯亮的信号。电路图如下图:5-5、在图所示电路中,若CLK、S、R的电压波形如图中所示,试画出Q和端与之对应的电压波形。假定触发器的初始状态为。解、5-14、已知维持阻塞结构D触发器各输入端的电压波

8、形如图所示,试画出、端对应的电压波形。解、5-15、已知边沿触发方式触发器各输入端的电压波形如图所示,试画出、端对应的电压波形。解、5-16、在脉冲触发触发器中,已知、端的电压波形如图所示,试画出、端对应的电压波形。设触发器的起始状态为。解、5-22、图中所示是用边沿触发器和或非门组成的脉冲分频电路。试画出在一系列脉冲作用下、和端对应的输出电压波形。设触发器的初始状态皆为解、5-23、图中所示是用维持阻塞结构D触发器组成的脉冲分频电路。试画出在一系列脉冲作用下输出端对应的电压波形。设触发器的初始状态皆为解、例6.4.3、设计一个自动售饮料机的逻辑电路。它的投币每次只能投入一枚五角或一元的硬币。

9、投入一元五角钱硬币后机器自动给出一杯饮料;投入两元(两枚一元)硬币后,在给出饮料恶同时找回一枚五角的硬币。解、取投币信号为输入逻辑变量,投入一枚一元硬币时用表示,未投入时。投入一枚五角硬币用表示,未投入时。给出饮料和找钱为两个输出变量,分别以表示。给出饮料时,不给时。找回一枚五角硬币时,不找时设未投币前电路的初始状态为,投入五角硬币以后为,投入一元硬币后为,再投入一枚五角硬币后电路返回,同时输出;如果投入的是一枚一元硬币,则电路应返回,同时输出,因此,电路的状态数状态转换图:取触发器位数2,以的00、01、10分别代表电路次态/输出的卡诺图:若选定D触发器,则从上图的卡诺图可写出电路的状态方程

10、:输出方程:驱动方程:逻辑图:6-3、分析图中时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态装换图,说明电路能否自启动。解、,;,电路能自启动。状态转换图如下图:6-5、试分析图中时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。解、,6-14、试用4位同步二进制计数器74LS161结成十二进制计数器,标出输入、输出端。可以附加必要的门电路。74LS161的功能表减表6.3.4。解、6-16、设计一个可控进制的计数器,当输入控制变量时工作在五进制,时工作在十五进制。请标出计数输入端和进位逐出短。解、6-23、设计一

11、个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任一时刻。解、电路接法可按下图所示。计数器由六片74160组成。第(1)、(2)两片接成六十进制的“秒计数器”,第(1)片为十进制,第(2)片为六进制。第(3)、(4)片接成六十进制的“分计数器”,接法与“秒计数器”相同。第(5)、(6)片用整体复位法接成二十四进制计数器,作为“时计数器”。显示译码器由六片7448组成,每片7448用于驱动一只共阴极的数码管BS201A。6-31、试用触发器和门电路设计一个同步七进制计数器。6-35、设计一个串行数据检测电路。当连续出现四个和四个以上的1时,检测输出信号为1,其余情况下

12、的输出信号为0。解、取输入数据为输入变量,用X表示;取检测结果为输出变量,以Y表示。设电路在没有输入1以前状态为,输入一个1以后状态为,连续输入两个1以后状态为,连续输入三个1以后状态为,连续输入四个1以后状态为。化简后的状态转换图:触发器数目为2电路次态/输出的卡诺图:若选用JK触发器,则电路的状态方程为驱动方程为:输出方程:电路图:10-19、在图中所示555定时器接成的施密特触发器电路中,试求:(1)当,而且没有外接控制电压时,、及值。(2)当、外接控制电压时,、各为多少。解、(1)当,。(2)当外接控制电压时,。10-20、图是用555定时器组成的开机延时电路。若给定,,试计算常闭开关断开以后经过多长时间的延迟时间才跳变为高电平。解、延迟时间等于从断开瞬间到电阻上的电压降至的时间,即:11-4、在图由所组成的转换器中,已知,试计算当输入数字量从全0变到全1时输出电压的变化范围。如果想把

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号